fir滤波器设计与仿真

上传人:dr****lb 文档编号:114827102 上传时间:2019-11-12 格式:DOC 页数:43 大小:1.05MB
返回 下载 相关 举报
fir滤波器设计与仿真_第1页
第1页 / 共43页
fir滤波器设计与仿真_第2页
第2页 / 共43页
fir滤波器设计与仿真_第3页
第3页 / 共43页
fir滤波器设计与仿真_第4页
第4页 / 共43页
fir滤波器设计与仿真_第5页
第5页 / 共43页
点击查看更多>>
资源描述

《fir滤波器设计与仿真》由会员分享,可在线阅读,更多相关《fir滤波器设计与仿真(43页珍藏版)》请在金锄头文库上搜索。

1、 EDA课程设计-FIR滤波器一、FIR数字滤波器理论简述有限冲激响应(FIR)数字滤波器和无限冲激响应(IIR)数字滤波器广泛应用于数字信号处理系统中。IIR数字滤波器方便简单,但它相位的非线性,要求采用全通网络进行相位校正,且稳定性难以保障。FIR滤波器具有很好的线性相位特性,使得它越来越受到广泛的重视。有限冲击响应(FIR)滤波器的特点:1 既具有严格的线性相位,又具有任意的幅度;2 FIR滤波器的单位抽样响应是有限长的,因而滤波器性能稳定;3只要经过一定的延时,任何非因果有限长序列都能变成因果的有限长序列,因而能用因果系统来实现;4 FIR滤波器由于单位冲击响应是有限长的,因而可用快速

2、傅里叶变换(FFT)算法来实现过滤信号,可大大提高运算效率。5 FIR也有利于对数字信号的处理,便于编程,用于计算的时延也小,这对实时的信号处理很重要。6 FIR滤波器比较大的缺点就是阶次相对于IIR滤波器来说要大很多。FIR数字滤波器是一个线性时不变系统(LTI),N阶因果有限冲激响应滤波器可以用传输函数H(z)来描述,在时域中,上述有限冲激响应滤波器的输入输出关系如下:其中,xn和yn分别是输入和输出序列。N阶有限冲激响应滤波器要用N1个系数描述,通常要用N+1个乘法器和N个两输入加法器来实现。乘法器的系数正好是传递函数的系数,因此这种结构称为直接型结构,可通过式(1.2)来实现,如图1。

3、 图1FIR滤波算法实际上是一种乘法累加运算,它不断地输入样本x ( n) ,经延时Z- 1,然后做乘法累加运算,再输出滤波结果 y(n)。当冲击响应满足下列条件时, FIR滤波器具有对称结构,为线性相位滤波器:这种对称性,可使得乘法器数量减半:对n价滤波器,当n为偶数时,乘法器的个数为n/2个;当n为奇数时,乘法器的个数为(n+1)/2个。在电路实现中,乘法器占用的逻辑单元数较多。乘法器的增加,意味着电路成本增加,另外对电路的工作速度也有影响。N阶线性相位的因果FIR系统的单位冲激响应滤波器可用对称冲激响应或者反对称冲激响应来描述。具有对称冲激响应的FIR传输函数的冲激响应可写成如下形式:当

4、N为偶数时当N为奇数时则FIR线性相位系统的结构可转化成如图2(a)和图2(b)所示。 图2(a) N为奇数 图2(b) N为偶数(二)设计方案随着数字技术日益广泛的应用,以现场可编程门阵列(FPGA)为代表的ASIC器件得到了迅速普及和发展,器件集成度和速度都在高速增长。FPGA既具有门阵列的高逻辑密度和高可靠性,又具有可编码逻辑器件的用户可编程特性,可以减少系统设计和维护的风险,降低产品成本,缩短设计周期。分布式算法是一种以实现乘加运算为目的的运算方法。它与传统算法实现乘加运算的不同在于执行部分积运算的先后顺序不同。简单地说,分布式算法在完成乘加功能时是通过将各输入数据每一对应位产生的部分

5、积预先进相加形成相应部分积,然后在对各部门积进行累加形成最终结果,而传统算法是等到所有乘积产生之后再进行相加来完成乘加运算的。与传统算法相比,分布式算法可极大地减少硬件电路规模,很容易实现流水线处理,提高电路的执行速度。FPGA有着规整的内部逻辑块阵列和丰富的连线资源,特别适合细粒度和高并行度结构特点的数字信号处理任务,如FIR、FFT等。利用FPGA实现FIR滤波器的设计过程,并且对设计中的关键技术分布式算法进行详细描述。二、FIR数字滤波器的设计方案:通常采用窗函数设计FIR滤波器方法简单,但是这些滤波器的设计还不是最优的。首先通带和阻带的波动基本上相等,另外对于大部分窗函数来说,通带内或

6、阻带内的波动不是均匀的,通常离开过渡带时会减小。若允许波动在整个通带内均匀分布,就会产生较小的峰值波动。因此考虑通过某种方法,对滤波器的结构进行优化。对于线性相位因果FIR滤波器,它的系列具有中心对称特性,即h(i)=h(N-1-i)。令s(i)=x(i) x(N-1-i),对于偶对称,代入式(1)可得:根据要求,要设计一个输入8位,输出8位的17阶线性相位FIR滤波器,所以采用图2(a)的方式,其中输入信号范围为:99,0,0,0, 70,0,0,0, 99,0,0,0, 70,此滤波器 Fs为44kHz,Fc为10.4kHz。MATLAB设计计算滤波器系数过程如下:FIR滤波器参数设置,因

7、为是17阶,所以Specify order处填16,h(0)=0.FIR滤波器的幅频响应FIR滤波器的相频响应FIR滤波器的冲激响应FIR滤波器系数对FIR滤波器的系数进行调整,整数化可得FIR滤波器的参数为-12 -18 13 29 -13 -52 14 162 242 14 -52 -13 29 13 -18 -12根据以上所说的该思路,可以将FIR滤波器的原理图设计如下:下面对各加法器乘法器的输出位数进行分析,对第一级加法器,输入全为8位,输出统一为9位。对各个乘法器进行分析,12=8+4,8为2的3次方,向左移了3位,输出为12位;18=16+2,16为2的4次方,向左移了4位,输出为

8、13位;以此类推,13乘法器输出为12位,29输出为13位,52输出为14位,162输出为16位,242输出为16位。对剩余加法器进行分析,对输入序列进行分析,99,0,0,0, 70,0,0,0, 99,0,0,0, 70,周期为8,经分析当总值最大时,总输出应为99*18+70*29+50*70+99*162=1782+2030+3640+16038=23490,2的15次方为32768,再加上一位符号位,所以输出应为16位,由此类推,12、18乘法器输出之和为13位,13、19乘法器输出之和应为13位,总输出为14位。另一支路上,13、52乘法器输出之和为14位,14、162乘法器输出之

9、和为16位,其总输出之和为16位,最后这两路输出之和为16位。将后8位舍去,加上由乘法器242输出舍取得倒的8位,总输出为8位。至此,所有器件的输入输出都可判定。下面进入模块设计阶段。(三)模块电路设计设计的FIR滤波器由19个小VHD文件和一个总体BDF文件组成,VHD文件可以分为以下四种模块:寄存器、加法器、减法器、乘法器。31 寄存器3.1.1 寄存器原理寄存器用于寄存一组二值代码,对寄存器的触发器只要求它们具有置1、置0的功能即可,因而本设计中用D触发器组成寄存器,实现寄存功能。3.1.2 寄存器要求实现的功能在CP正跳沿前接受输入信号,正跳沿时触发翻转,正跳沿后输入即被封锁。3.1.

10、3寄存器的VHDL语言实现(8位)3.1.4寄存器的模块图3.1.5寄存器的波形仿真完全符合设计要求。32 加法器3.2.1 加法器的原理在将两个多位二进制数相加时,除了最低位以外,每一位都应该考虑来自低位的进位,即将两个对应位的加数和来自低位的进位3个数相加。这种运算称为全加,所用的电路称为全加器。多位加法器的构成有两种方式:并行进位和串行进位。并行进位加法器设有进位产生逻辑,预算速度较快;串行进位方式是将全加器级联构成多位加法器。并行进位加法器通常比串行级联加法器占用更多的资源。随着为数的增加,相同位数的并行加法器与串行加法器的资源占用差距也越来越大,因此,在工程中使用加法器时,要在速度和

11、容量之间寻找平衡点。本次设计采用的是并行加法器方式。3.2.2 加法器要求实现的功能实现两个二进制数字的相加运算。当到达时钟上升沿时,将两数输入,运算,输出结果。3.2.3 加法器的VHDL语言实现(以下以12位数加16位数生成16位数的加法器为例)3.2.4 加法器的模块图3.2.5 加法器的波形仿真完全符合设计要求。33 减法器3.3.1 减法器的原理减法器的原理与加法器类似,尤其是并行式的减法器也加法器的区别仅仅在于最后的和数为两数相减。如:Dout=Din2-s1;3.3.2 减法器要求实现的功能由上面简化电路的需要,当乘法器常系数为负数的,可以取该数的模来作为乘法器的输入,其输出作为

12、一个减法器的输入即可。故减法器要实现两个二进制数相减的运算。当到达时钟上升沿时,将两数输入,运算,输出结果。3.3.3 减法器的VHDL语言实现(以下以16位数减去14位数输出16位数的减法器为例)3.3.4 减法器的模块图3.3.5 减法器的波形仿真完全符合设计要求。34 乘法器3.4.1 乘法器的原理从资源和速度考虑,常系数乘法运算可用移位相加来实现。将常系数分解成几个2的幂的和形式。下例为乘18电路设计,算法:18=16+23.4.2 乘法器要求实现的功能实现输入带符号数据与固定数据两个二进制数的乘法运算。当到达时钟上升沿时,将两数输入,运算,输出结果。3.4.3 乘法器的VHDL语言实

13、现3.4.4 乘法器的模块图3.4.5 乘法器的波形仿真完全符合设计要求。(四)FIR滤波器整体电路FIR滤波器的整体电路基本与其原理图类似。整体电路如下图所示:(五)FIR滤波器整体电路仿真结果1、设定输入信号根据设计要求,输入信号范围是:99,0,0,0, 70,0,0,0, 99,0,0,0, 70,2、输出信号理论值由FIR数字滤波器的公式仿真结果(六)结 论输出结果yn理论值仿真结果MATLAB卷积值/512经仿真器仿真-2.3203-3-3.4805-42.513725.60745-4.1543-5-12.516-134.4844435.2893542.6954120.734207

14、.1348717.7011726.4182615.24158.9121824.69924y038.59837y124.69924y28.91218y315.2415y424.77724y515.2415y68.91218y724.69924y838.59837y924.69924y108.91218y1115.2415y1224.77724y1315.2415y148.91218y1524.69924y1638.59837y1724.69924经验总结:第一遍设计时,原本d8信号是直接进入乘法器242,这样输出为15位,再经过四个延时器与左边加起来的信号同步,最后在进入一个加法器,此加法器输入信号为左边来的16位信号,和乘法器242输出的信号15位,各取前8位信号相加,输出最后结果。理论上,无论是输入输出信号的位数,还是考虑延时同步,还是舍去的位数

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号