8位全加器设计

上传人:简****9 文档编号:114581157 上传时间:2019-11-11 格式:DOC 页数:3 大小:127.50KB
返回 下载 相关 举报
8位全加器设计_第1页
第1页 / 共3页
8位全加器设计_第2页
第2页 / 共3页
8位全加器设计_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《8位全加器设计》由会员分享,可在线阅读,更多相关《8位全加器设计(3页珍藏版)》请在金锄头文库上搜索。

1、基于原理图的8位全加器设计实验目的:熟悉利用Quartus II的原理图输入方法设计简单的组合电路,掌握层次化设计的方法,并通过一个8位全加器的设计把握利用EDA软件进行原理图输入方式的电子线路设计的详细流程。实验原理:一个8位全加器可以由8个1位全加器串行构成,即将低位加法器的进位输出cout与相临的高位加法器的最低位输入信号cin相接。试验任务:1.完成半加器和全加器的设计。 2.建立一个更高层次的原理图设计,利用以上获得的1位全加器构成8位全加器,完成编译、综合、适配、仿真和硬件测试。实验步骤:一、1位全加器设计1. 建立工程文件夹adder,路径d:adder。2. 输入设计项目和存盘

2、原理图编辑输入流程如下:(1) 打开Quartus II,选择filenew命令,在弹出的窗口中选择block diagram/schematic file选项,单击ok按钮后将打开原理图编辑窗口。(2) 在编辑窗口中的任何一个位置上右击,将弹出快捷菜单,选择insetsymbol命令,将弹出元件输入对话框。(3) 单击“”按钮,找到基本元件库路径d:/altera/90/quartus/libraries/primitives/logic项(假设软件安装在D盘),选中需要的元件,单击“打开”按钮,此元件即显示在窗口中,然后单击symbol窗口中的ok按钮,即可将元件调入原理图编辑窗口中。也可

3、以在name栏输入需要的元件名。调入好元件和引脚后,连接好电路,再输入各引脚名。(4) 选择filesave as命令,选择刚才为自己的工程建立的目录d:adder,将已设计好的原理图取名为h_adder.bdf,并存盘此文件夹内。3. 将设计好的项目设置成可调用的元件为了构成全加器的顶层设计,必须将以上设计的半加器h_adder.bdf设置成可调用的元件。在打开半加器原理图文件的情况下,选择filecreate/updatecreate symbol file for current file命令,即可将当前文件h_adder.bdf变成一个元件符号存盘,以待高层次设计中调用。4. 设计全加

4、器顶层文件打开一个原理图编辑窗口,方法同前。在新打开的原理图窗口中双击,在弹出的窗口中选择project选项,选择h_adder.bdf,并调入其他元件,连接好电路。以f_adder.bdf名存在同一路径d:adder中。二、8位全加器设计1. 将刚设计好的1位全加器设置成可调用的元件,方法同上。2. 调入元件,连接电路图,以8f_adder.bdf保存于同一路径d:adder中的文件夹中。3. 将顶层文件8f_adder.bdf设置为工程。4. 编译与仿真原理图与仿真波形分析:1. 一位全加器原理图与仿真半加器原理图1位全加器原理图1位全加器仿真波形2.8位全加器原理图与仿真8位全加器原理图8位全加器仿真波形

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 管理学资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号