EDA课程设计12975new

上传人:xins****2008 文档编号:111248749 上传时间:2019-11-02 格式:DOC 页数:17 大小:224KB
返回 下载 相关 举报
EDA课程设计12975new_第1页
第1页 / 共17页
EDA课程设计12975new_第2页
第2页 / 共17页
EDA课程设计12975new_第3页
第3页 / 共17页
EDA课程设计12975new_第4页
第4页 / 共17页
EDA课程设计12975new_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《EDA课程设计12975new》由会员分享,可在线阅读,更多相关《EDA课程设计12975new(17页珍藏版)》请在金锄头文库上搜索。

1、长 沙 学 院课程设计说明书题目 EDA课程设计 系(部) 电子与通信工程系 专业(班级) 姓名 学号 指导教师 起止日期 EDA技术课程设计任务书系(部):电子与通信工程系 专业:电气工程及其自动化 指导教师:课题名称彩灯控制器的设计设计内容及要求(1)课题内容: 1、 设计一个彩灯控制器,使彩灯(LED管)能连续发出三种以上不同的花型(自拟);2、 随着彩灯显示图案的变化,发出不同的音响声。3、 要求使用7段数码管显示当前显示的花型,如第一种花型显示AA,第二种花型显示BB,第三种花型显示CC(2)主要任务:完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供

2、制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。设计工作量1、VHDL语言程序设计;2、波形仿真;3、在实验装置上进行硬件测试,并进行演示;4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。进度安排起止日期(或时间量)设计内容(或预期目标)备注第一天课题介绍,答疑,收集材料第二天设计方案论证第三天设计VHDL语言程序第四天在实验装置上进行硬件测试,并进行演示第五天编写设计说明书教研室意见年 月 日系(部)主管领导意见年 月 日长沙学院课程设计鉴定表姓名学号专业班级设计题目彩灯控制器的设计指导教师指导教师意见:评定

3、等级: 教师签名: 日期: 答辩小组意见:评定等级:答辩小组长签名:日期:教研室意见:教研室主任签名: 日期: 系(部)意见:系主任签名:日期:说明课程设计成绩分“优秀”、“良好”、“及格”、“不及格”四类;目录第1章 EDA简介1第2章 彩灯控制器的设计原理2第3章 程序设计和分析3第4章 波形仿真分析第5章 硬件测试11第6章 心得体会12IV第1章 EDA简介 EDA技术(即Electronic Design Automation技术)就是依赖强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Ddscription Langurage)为系统逻辑描述手段完成

4、的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。它在硬件实现方面融合了大规模集成电路制造技术、IC版图设计、ASIC测试和封装、FPGA(Gield Peogrammable Gate Array)/CPLD(Complex Programmable Logic Device)编程下载和自动测试等技术;在计算机辅助工程方面融合了计算机辅助设计(CAD),计算机辅助制造(CAM),计算机辅助测试(CAT),计算机辅助工程(CAE)技术以及多种计算机语言的设计概念;而在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号处

5、理技术、数字系统建模和优化技术及长线技术理论等。硬件描述语言HDL是EDA技术的重要组成部分,常见的HDL主要有VHDL、Verilog HDL、ABEL、AHDL、System Verilog和SystemC。其中VHDL、Verilog和现在的EDA设计中使用最多,并且我们学习的是VHDL的编程方法和实用技术。VHDL的英文全名是VHSIC(Very High Speed Integrated Circuit)Hardware DescriptionLanguage,由IEEE(The Institute of Electrical and Electronics Engineets)进一

6、步发展,并在1987年作为“IEEE标准1076”公布。从此VHDL成为硬件描述语言的业界标准之一。VHDL技术与传统的数字电子系统或IC设计相比之下有很大的优势,主要表现在:第一, VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。第二, VHDL具有也具体硬件电路武官和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化,结构化设计方面,表现了强大的生命力和应用潜力。EDA书中所给出的所有的实力和实验都是基于Quartus 6.0的,其应用方法和设计流程对于其他流行EDA工

7、具的使用具有一定的典型性和普遍性。它提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统(SOPC)开发的基本设计工具,并为Altera DSP开发包进行系统模型设计提供了集成综合环境。Quartus 6.0包括模块化的编译器。编译器包括的功能模块有分析/综合器(Analysis Synthesis)、适配器(Fitter)、装配器(Assembler)、时序分析器(TimingAnalyzer)、设计辅助模块(Design Assistant)、EDA网表文件生成器(EDA Netlist Writer)、编辑数据接口(Compiler Database Interfa

8、ce)等。可以通过选择Start Compilation来运行所有的编译器模块,也可以通过选择Start单独运行各个模块。还可以通过选择Compiler Tool,在Compiler Tool窗口中运行该模块来启动编译器模块。第2章 彩灯控制器的设计原理 本次彩灯控制器的设计包含几个主要模块,一是彩灯显示和扬声器的时序控制部分,二是发光二极管的动态显示和数码管的动态显示,本次设计中,二者的显示同步变化;三是扬声器的控制部分。流程图如下所示: 图1 彩灯控制器的设计流程图 彩灯控制器的设计核心主要是分频器的使用,显示部分的设计较简易。分频的方法有很多种,本次设计之采用了其中较简易的一种,通过计数

9、器的分频,将控制器外接的频率分为几个我们预先设定的值。当计数器达到预先设定的值,即产生一个上升沿,从而实现分频。扬声器通过不同的频率控制发出不同的声音。同样发光二极管和数码管的显示速度也由其中分出来的一种频率控制(控制显示频率在14之间为宜)。通过使能端的控制可以控制不同的数码管显示预先设定的图案,数码管依次显示的图案为AA、BB、CC,并随着发光二极管同步动态显示。AA为自左向右显示,BB为自右向左显示,CC从二边向中间再由中间向二边发散显示。与此同时,显示不同的花型时扬声器发出不同的声音,代表不同的花型。本次设计还带有复位功能,通过复位可以使彩灯控制器恢复到最初的状态。第3章 程序设计和分

10、析library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; 建立设计库和标准程序包实体部分:entity pan isport(clk:in std_logic; clr:in std_logic; speak:out std_logic; led7s1:out std_logic_vector(6 downto 0); led7s2:out std_logic_vector(7 downto 0); led_selout:out std_logic_vector(7 downto 0);end enti

11、ty; 实体名为pan,定义端口,输入端口为clk和clr ,其中clk接脉冲信号,clr接复位端;输出端口speak接扬声器,led7s1接数码管的七段显示部分,led7s接八个发光二极管,led_selout接八个数码管的使能端,控制数码管的循环显示。结构体部分:architecture one of pan is signal s: std_logic_vector(4 downto 0); signal clk1:std_logic; signal clk2:std_logic; signal clk3:std_logic; 定义4个信号,cq,cllk1,clk2,clk3. pro

12、cess(clk) variable a:std_logic_vector(5 downto 0); beginif clr=1 then clk1=0; - 复位信号控制部分 else if clkevent and clk=1then if a=110010then a:=000000; clk1=1; else a:=a+1; clk1=0; end if; end if; end if; end process; 以上程序为第一次分频,为50分频,当clk发生变化,使a从000000变化到110010时产生一个上升沿clk1。如果a没有变化到110010则a继续自加,直到110010为

13、止产生下一个上升沿。process(clk) variable b:std_logic_vector(4 downto 0); beginif clr=1 then clk2=0; -复位信号elseif clkevent and clk=1then if b=11001then b:=00000; clk2=1; else b:=b+1; clk2=0; end if;end if;end if;end process; 以上程序为对clk进行25分频,原理同上,产生信号clk2。process(clk) variable c:std_logic_vector(2 downto 0); beginif clr=1 then clk3=0; -复位信号elseif clkevent and clk=1then if c=111then c:=000; clk3=1; else

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号