电子设计自动化eda绪论概要

上传人:今*** 文档编号:110006194 上传时间:2019-10-28 格式:PPT 页数:49 大小:1.43MB
返回 下载 相关 举报
电子设计自动化eda绪论概要_第1页
第1页 / 共49页
电子设计自动化eda绪论概要_第2页
第2页 / 共49页
电子设计自动化eda绪论概要_第3页
第3页 / 共49页
电子设计自动化eda绪论概要_第4页
第4页 / 共49页
电子设计自动化eda绪论概要_第5页
第5页 / 共49页
点击查看更多>>
资源描述

《电子设计自动化eda绪论概要》由会员分享,可在线阅读,更多相关《电子设计自动化eda绪论概要(49页珍藏版)》请在金锄头文库上搜索。

1、电子设计自动化(EDA),课时:2*15课时,6课时的课外实验 考试: 实验成绩 30% (实验考试) 随堂考试 70 (考查课) 实验部分:(提前准备好实验报告本) 6节小实验(3次) 综合实验(1周)记入平时成绩 实验课地点:主楼八楼东边现代通信实验室,测控09-1.2实验时间安排,VHDL设计技术参考书 CPLD系列设计技术与入门:黄正谨 徐坚 章小丽 熊明珍等 编著,电子科技大学出版社 可编程逻辑器件原理、开发与应用: 赵曙光等 编著,西安科技大学出版社 VHDL硬件描述语言与数字逻辑电路设计: 侯伯亨 顾新 编著,西安电子科技大学出版社 VHDL程序设计: 曾繁泰 陈美金编著,清华大

2、学出版社 数字集成系统的结构化设计与高层次综合: 王志华 邓仰东 编著,清华大学出版社 VHDL应用与开发实践:甘 历 编著,科技出版社,参 考 教 材,参 考 教 材,参 考 教 材,课程结构安排: 一:第1到第3章基础知识:EDA发展流程,设计流程。基本的硬件结构 二:第4章:软件 三:第5章是整个VHDL程序设计的语言要素和语句部分(核心内容) 四:软件操作以及设计中的一些优化问题。 五:第6章,系统设计(综合实验)。,第一章 绪论,主要内容 1. EDA概念 2.EDA发展历程 3.EDA发展趋势,常见英文缩写解释(按字母顺序排列) ASIC: Application Specific

3、 Integrated Circuit. 专用IC CPLD: Complex Programmable Logic Device. 复杂可 编程逻辑器件 EDA: Electronic Design Automation. 电子设计自动化 FPGA: Field Programmable Gate Array. 现场可编程 门阵列 GAL: Generic Array Logic. 通用阵列逻辑 HDL: Hardware Description Language. 硬件描述语言,IP: Intelligent Property. 智能模块 PAL: Programmable Array L

4、ogic. 可编程阵列 逻辑 RTL: Register Transfer Level. 寄存器传输级 (描述) SOC: System On a Chip. 片上系统 SLIC: System Level IC. 系统级IC VHDL: Very high speed integrated circuit Hardware Description Language. 超高速集成电路硬件描述语言,主要内容 1.实现载体:大规模可编程逻辑器件 2.描述语言:硬件描述语言 3.设计工具:软件开发系统 4.硬件验证:实验开发系统,1. 大规模可编程逻辑器件 FPGA和CPLD 主要公司:Xilinx

5、,Altera,Lattice FPGA/CPLD显著的优点: 开发周期短、投资风险小、产品上市速度快、市场适应能力强、硬件修改升级方便。,实验装置,三类器件的主要性能指标比较,2. 硬件描述语言(HDL) VHDL:IEEE标准,系统级抽象描述能力较强。 Verilog:IEEE标准,门级开关电路描述能力较强。 ABEL:系统级抽象描述能力差,适合于门级电路 描述。,3. 软件开发工具 集成化的开发系统 特定功能的开发软件:综合软件 仿真软件,集成化的开发系统 Altera公司: MAX+plus II、Quartus II系列 Xilinx公司: ISE、 Foundation、Ailla

6、nce系列 Lattice公司:ispDesignEXPERT系列,特定功能的开发软件 综合类: Synplicity公司的Synplify/ Synplify pro Synopsys公司的FPGA Express、 FPGA compiler II Mentor公司的LeonardoSpectrum 仿真类: Model Tech公司的Modelsim Aldec公司的Active HDL Cadence公司的NC-Verilog、NC-VHDL、NC- SIM,4.实验开发系统,EDA技术 狭义定义:以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、

7、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,自动完成用软件方式方式描述的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门多学科融合的新技术。,1.1 EDA技术及其发展,EDA(Electronic Design Automation) EDA:是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技而研制成的电子CAD(Computer Aided Design)通用软件包。 功能:辅助IC(integrated circuit)设计,

8、电子电路设计,PCB(Printed Circuit Board)设计,EDA技术的发展,七十年代为CAD(Computer Aided Design计算机辅助设计)阶段 用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工画图。 八十年代为CAE(Computer Aided Engineering计算机辅助工程)阶段 支持电路功能设计和结构设计,通过电路网表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。 九十年代为ESDA(Electronic System Design Automation电子系统设计自动化)阶段,

9、1.EDA概念以及发展 七十年代为CAD(Computer Aided Design计算机辅助设计)阶段 用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工画图。 八十年代为CAE(Computer Aided Engineering计算机辅助工程)阶段 支持电路功能设计和结构设计,通过电路网表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。 九十年代为ESDA(Electronic System Design Automation电子系统设计自动化)阶段,上节课内容回顾:,2. 可编程逻辑器件,CPLD: Complex

10、 Programmable Logic Device. 复杂可编程逻辑器件 FPGA: Field Programmable Gate Array. 现场可编程 FPGA和CPLD主要公司:Xilinx,Altera,Lattice,3.硬件描述语言,VHDL: Very high speed integrated circuit Hardware Description Language. 超高速集成电路硬件描述语言 VHDL:IEEE标准,系统级抽象描述能力较强。 Verilog:IEEE标准,门级开关电路描述能力较强。,4. 集成化的开发系统 Altera公司: MAX+plus II、

11、Quartus II系列,ESDA技术的基本特征,(1) 支持“自顶向下”的设计方法 (2)支持ASIC (Application Specific Integrated Circuit)设计 (3)采用硬件描述语言 (4)基于系统框架结构,在我国所使用的工具中, 最有代表性的设计工具是Tango和早期的ORCAD。 它们的出现, 使得电子电路设计和印刷板布线工艺实现了自动化, 但还只能算自下而上的设计方法。 随着大规模专用集成电路的开发和研制, 为了提高开发的效率和增加已有开发成果的可继承性, 以及缩短开发时间, 各种新兴的EDA工具开始出现, 特别是硬件描述语言HDL(Hardware D

12、escription Language)的出现,,特别是硬件描述语言HDL(Hardware Description Language)的出现, 使得传统的硬件电路设计方法发生了巨大的变革, 新兴的EDA设计方法采用了自上而下(Top Down)的设计方法。 所谓自上而下的设计方法, 就是从系统总体要求出发, 自上而下地逐步将设计内容细化, 最后完成系统硬件的整体设计。 各公司的EDA工具基本上都支持两种标准的HDL, 分别是VHDL和Verilog HDL。,关于VHDL(06),Very high speed integrated Hardware Description Language

13、 (VHDL) 是IEEE、工业标准硬件描述语言 用语言的方式而非图形等方式描述硬件电路 容易修改 容易保存 特别适合于设计的电路有: 复杂组合逻辑电路,如: 译码器、编码器、加减法器、多路选择器、地址译码器. 状态机 等等,VHDL的功能和标准,VHDL 描述 输入端口 输出端口 电路的行为和功能 VHDL有过两个标准: IEEE Std 1076-1987 (called VHDL 1987) IEEE Std 1076-1993 (called VHDL 1993),概述:,用HDL语言表达设计意图,FPGA作为硬件载体,计算机为设计开发工具,EDA作为软件开发环境的现代化电子设计方法,

14、简介背景(二),美国国防部在80年代初提出了VHSIC(Very High Speed Integrated Circuit)计划,其目标之一是为下一代集成电路的生产,实现阶段性的工艺极限以及完成10万门级以上的设计,建立一项新的描述方法。1981年提出了一种新的HDL,称之为VHSIC Hardware Description Language,简称为VHDL,这种语言的成就有两个方面: 描述复杂的数字电路系统 成为国际的硬件描述语言标准,VHDL的特点:,将一项工程设计或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,即端口)和内部(或称不可视部分),即设计实体

15、的内部功能和算法完成部分。,VHDL语言特色:,VHDL语言中设计实体(design entity),程序包(pacage),设计库,为设计人员重复利用别人的设计提供了技术手段。 重复利用他人的IP模块和软核(soft cove)是VHDL语言的特色。,VHDL语言的优点:,1.具有很强的行为描述能力。 2.支持大规模设计的分解和已有设计的再利用。 3.可读性好,易于修改和发现错误。 4.可以使用仿真器对VHDL源代码进行仿真。 5.允许设计者不依赖于器件。 6.实现了设计与工艺无关。 7.可移植性好。 8.上市时间快,成本低。 9.ASIC移植。,VHDL与计算机语言的区别,运行的基础 计算

16、机语言是在CPURAM构建的平台上运行 VHDL设计的结果是由具体的逻辑、触发器组成的数字电路 执行方式 计算机语言基本上以串行的方式执行 VHDL在总体上是以并行方式工作 验证方式 计算机语言主要关注于变量值的变化 VHDL要实现严格的时序逻辑关系,HDL语言的种类,Candence公司的 Verilog HDL语言,于1995年成为IEEE标准,从C语言发展而来。 Menter Graghics公司的 BLM语言,从PASCAL语言发展而来,未成为IEEE标准。 Altera公司的 AHDL的语言,具有C语言风格。,系统集成芯片成为IC设计的发展方向,这一发展趋势表现在如下几个方面: 超大规模集成电路的集成度和工艺水平不断提高,深亚微米(Deep-Submicron)工艺,如0.18m,0.13m已经走向成熟,在一个芯片上完成的系统级的集成已成为可能。 市场对电子产品提出了更高的要求,如必须降低电子系统的成本,减小系统的

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号