可编程逻辑器件与应用实验指导书(quartus2)

上传人:ji****en 文档编号:107908390 上传时间:2019-10-21 格式:DOCX 页数:113 大小:22.16MB
返回 下载 相关 举报
可编程逻辑器件与应用实验指导书(quartus2)_第1页
第1页 / 共113页
可编程逻辑器件与应用实验指导书(quartus2)_第2页
第2页 / 共113页
可编程逻辑器件与应用实验指导书(quartus2)_第3页
第3页 / 共113页
可编程逻辑器件与应用实验指导书(quartus2)_第4页
第4页 / 共113页
可编程逻辑器件与应用实验指导书(quartus2)_第5页
第5页 / 共113页
点击查看更多>>
资源描述

《可编程逻辑器件与应用实验指导书(quartus2)》由会员分享,可在线阅读,更多相关《可编程逻辑器件与应用实验指导书(quartus2)(113页珍藏版)》请在金锄头文库上搜索。

1、I/O口FPGA对应管脚I/O口FPGA对应管脚I/O口FPGA对应管脚IO143IO2075IO28113IO239IO2177IO31120IO366IO2285IO14135IO752IO2387IO15141IO850IO24101IO16137IO934IO25104IO171IO1071IO27112IO187IO1911CLK22、24CLK块10可编程逻辑器件应用实 验 指 导 书电子科学与技术专业组目 录实验一用原理图法设计一个38译码器组合电路2实验二用原理图设计方法设计一个触发器22实验三、用VHDL语言设计7段数码管控制接口26实验四、用VHDL语言和原理图设计方法混合

2、设计一个全加器33实验五、用VHDL语言和原理图设计方法混合设计一个简易时钟电路39实验六、用VHDL语言和原理图设计方法混合设计一个计数译码显示电路48实验七用VHDL语言和原理图设计方法混合设计一个点阵控制接口电路59实验八、利用可编程逻辑器件设计一个ROM64实验九、利用可编程逻辑器件设计一个FIFO74实验十、利用可编程逻辑器件设计一个步进电机控制接口电路81实验十一、利用可编程逻辑器件设计一个D/A控制接口电路86实验十二、利用可编程逻辑器件设计一个A/D控制接口电路99实验一用原理图法设计一个38译码器组合电路一、实验目的1.通过一个简单的38译码器的设计,让学生掌握组合逻辑电路的

3、设计方法。2.掌握组合逻辑电路的静态测试方法。3.初步了解可编程器件设计的全过程。二、实验器材1.台式计算机 1台。 2.可编程逻辑逻辑器件实验软件1套。3.下载电缆一套。 4.示波器一台。三、实验说明1台式计算机用于向可编程逻辑逻辑器件实验软件提供编程、仿真、下载的平台,供用户使用。2可编程逻辑逻辑器件实验软件向原理图的设计提供平台,并将调试好的原理图下载到可编程逻辑逻辑器件中。3下载电缆是可编程逻辑器件软件和可编程逻辑逻辑器件之间的接口电缆,为了便于区别,用不同颜色导线区分下载电缆的电源、地和信号,一般用红色导线接电源,用黑色导线接地。4示波器用于观察可编程逻辑器件执行程序时输出信号的变化

4、。四、实验原理说明3线-8线译码器具有将一组三位二进制代码翻译为相对应的输出信号的电路特点。输出信号D7D0的表达式功能表输入输 出CBAD7D6D5D4D3D2D1D0Y000000000001Y100100000010Y201000000100Y301100001000Y410000010000Y510100100000Y611001000000Y711110000000五、实验内容和步骤1、建立工程(1)软件的启动:打开Quartus II软件,如图1-1所示。图1-1(2)启动File菜单,点击New Project Wizard,如下图1-2所示。图1-2(3)进入向导,选择Next

5、,如图1-3所示。图1-3(4)设置保存路径,以及项目名称,项目名称为decode3_8,如图1-4所示。图1-4(5)点击Next,选择器件类型及型号(实验箱使用的CPLD的是Altera 公司CycloneIII系列EP3C10E144C8型),如图1-5所示。图1-5(6)点击Next,直至Finish。建立好的工程如图1-6所示图1-62、建立文件(1)启动FileNew菜单,弹出设计输入选择窗口,如下图1-7所示。或点击图1-8主菜单中的空白图标,进入新建文件状态。图1-7图1-8(2)选择Blockdiagram/Schematic File,单击ok按钮,打开原理图编辑器,进入原

6、理图设计输入电路编辑状态,如下图1-8所示:图1-93、设计的输入(1)放置一个器件在原理图上在原理图的空白处双击鼠标左键,出现窗口如图1-11;也可单击鼠标右键,出现窗口如图1-10,选择“Insert-Symbol as Block”,出现窗口如图1-10,进入器件选择输入窗口。图1-10图:1-11(2)在“Libraries”提示处(光标处)用鼠标双击库文件(在提示窗“primitives”里的各个文件),在提示窗“logic”中双击元件或选中元件按下OK即可将该器件放置到原理图中。(3)如果安放相同元件,只要按住Ctrl键,同时用鼠标拖动该元件复制即可。(4)一个完整的电路包括:输入

7、端口INPUT、电路元器件集合、输出端口OUTPUT。(5)输入输出引脚在在提示窗“primitives”里的pin中添加引脚;(6)下图1-12为3-8译码器元件安放结果。图1-12(7)添加连线到器件的管脚上:把鼠标移到元件引脚附近,则鼠标光标自动由箭头变为十字,按住鼠标右键拖动,即可画出连线。3-8译码器原理图如图1-13所示。图1-134、标记输入/输出端口属性分别双击输入端口的“PIN-NAME”,当变成黑色时,即可输入标记符并回车确认;输出端口标记方法类似。本译码器的三输入断分别标记为:A、B、C;其八输出端分别为:D0、D1、D2、D3、D4、D5、D6、d7。如下图1-14所示

8、。图1-145、保存原理图单击保存按钮图标,对于新建文件,出现类似文件管理器的图框,请选择保存路径、文件名称保存原理图,原理图的扩展名为.bdf,本实验中取名为decode3_8.bdf。至此,完成了一个电路的原理图设计输入的整个过程。6、功能仿真首先我们介绍功能仿真,即前仿真。Quartus II软件支持电路的功能仿真(或称前仿真)和时序分析(或称后仿真)。众所周知,开发人员在进行电路设计时,非常希望有比较先进的高效的仿真工具出现,这将为你的设计过程节约很多时间和成本。由于EDA工具的出现,和它所提供的强大的(在线)仿真功能迅速地得到了电子工程设计人员的青睐,这也是当今EDA(CPLD/FP

9、GA)技术非常火暴的原因之一。下面就Quartus II软件的仿真功能的基本应用在本实验中作一初步介绍,在以后的实验例程中将不在一一介绍。(1)编译与仿真选择选择Quartus II Processing菜单,进入编译功能。点击工具栏,如下图1-15。图1-15(2)打开波形编辑器。如果编译无误,选择菜单File中的New项,在New窗口中选择Other Files中的Vector Waveform File,如图1-16、图1-17所示。图1-16图1-17在Name窗口点击右键添加需要仿真的引脚,如下图1-18所示。图1-18点击 Insert Node or Bus. 弹出如图1-19窗

10、口。图1-19点击Node Finder如下图1-20,点击List,点击添加全部,如下图1-21所示,点击OK,完成添加。图1-20图1-21准备为电路输入端口添加激励波形,如下图1-22所示。选中欲添加信号的管脚,窗口左边的信号源即刻变成可操作状态。根据实际电路要求选择信号源种类,在本电路中我们选择时钟信号就可以满足仿真要求。图1-22设置时钟信号起止时间,时钟周期,点击OK,图1-23图1-23保存波形文件,保存路径必须和工程文件一致。(3)仿真器参数设置。在菜单中选择Assignment中的settings,在Setting窗口下选择Simulator Settings,在右侧窗口Si

11、mulation mode选择functional,如图1-24所示图1-24(4)设置完成后,需要点击ProcessingGenerate Functional Simulation Netlist(目的是生成网络表为仿真做准备),如下图1-25所示图1-25(5)点击启动仿真,得到仿真波形。仿真波形如图1-26图1-267、时序仿真在实际器件中信号往往会产生延时,为了避免这些延时对功能造成不必要的影响,在Quartus II中提供了时序仿真,虽然不一定能完全仿真实际情况,但是能够对一些可以预见的问题进行仿真,所以进行时序仿真在EDA设计中时序仿真比不可少。(1)编译与仿真选择选择Quart

12、us II Processing菜单,进入编译功能。点击主菜单“Processing”,“Start Compilation”,如下图1-27。图1-27如果编译通过没有报错。这时下一步做的仿真是时序仿真,此时需要在设置中设置时序仿真如下图1-28 1-29所示。图1-28图1-29添加仿真激励信号波形在时序仿真中,添加仿真激励信号波形和功能仿真方法一样,可以参照功能仿真设置方法设置。注意如果已进行过功能仿真切记不可再次新建波形文件,因为Quartus II在一个项目文件下只支持一个波形文件仿真,只需打开功能仿真时设置好的波形文件选择时序仿真即可。点击启动仿真,得到仿真波形,波形如图1-30。

13、图1-308、引脚分配 在完成编译和仿真后,需要对引脚进行配置,这是对下一步下载程序所做的最后准备。 (1)点击Assignments 选择Pins 进行引脚分配,(Quartus II中快捷按钮为)如图1-31所示图1-31(2)弹出引脚分配窗口,如图1-32所示,具体分配可根据实验箱提供的管脚分配说明来分配 (说明:实验中发现每个实验箱I/O口会出现一些问题,因此必须根据实际情况来分配引脚)。分配完成后图1-33所示,I/O口FPGA对应管脚I/O口FPGA对应管脚I/O口FPGA对应管脚IO143IO2075IO28113IO239IO2177IO31120IO366IO2285IO14135IO752IO2387IO15141IO850IO24101IO16137IO934IO25104IO171IO1071IO27112IO187IO1911CLK22、24CLK块10图1-32图1-33(3)在完成引脚分配以后需要进行一次全编译。9、下载全编译无误后点,弹出下载窗口如图1-34,点击START,下载到实验箱。图1-3410、连线测试按照引脚分配连接实验箱,3线-8线译码器输入使用三个拨码开关分别代表A、B、C,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 电子/通信 > 综合/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号