数字逻辑_同步时序逻辑电路剖析

上传人:今*** 文档编号:107758098 上传时间:2019-10-20 格式:PPT 页数:74 大小:2.55MB
返回 下载 相关 举报
数字逻辑_同步时序逻辑电路剖析_第1页
第1页 / 共74页
数字逻辑_同步时序逻辑电路剖析_第2页
第2页 / 共74页
数字逻辑_同步时序逻辑电路剖析_第3页
第3页 / 共74页
数字逻辑_同步时序逻辑电路剖析_第4页
第4页 / 共74页
数字逻辑_同步时序逻辑电路剖析_第5页
第5页 / 共74页
点击查看更多>>
资源描述

《数字逻辑_同步时序逻辑电路剖析》由会员分享,可在线阅读,更多相关《数字逻辑_同步时序逻辑电路剖析(74页珍藏版)》请在金锄头文库上搜索。

1、同步时序电路设计,5.1 时序逻辑电路概述,组合逻辑电路:在任何时刻产生的稳定输出信号都仅与该时刻电路的输入信号相关。如译码器,全加器,数据选择器。 时序逻辑电路:在任何时刻产生的稳定输出信号不仅与电路该时刻的输入信号有关,而且与电路过去的输入信号有关。如触发器,寄存器,计数器和移位寄存器等,时序电路结构图,输入,输出,特点:包含组合逻辑电路和记忆(存储)电路; 在电路的结构上,具有反馈。,表示存储电路中每个触发器的现态,表示每个触发器的次态,时序逻辑电路的分类,根据电路工作方式分类: 同步时序电路:各个触发器的时钟脉冲接在一起,即电路中有一个统一的时钟脉冲,每来一个时钟脉冲,电路的状态只改变

2、一次。 异步时序电路:各个触发器的时钟脉冲不同,即电路中没有统一的时钟脉冲来控制电路状态的变化,电路状态改变时,电路中要更新状态的触发器的翻转有先有后,是异步进行的。 。 根据电路的输入/输出关系分类: 米利(Mealy)型电路:某时刻的输出是该时刻的输入和电路状态的函数 摩尔(Moore)型电路:某时刻的输出仅是该时刻电路状态的函数,与该时刻的输入无关,如同步计数器。,同步时序电路的描述方法,研究同步时序电路时,除逻辑函数表达式之外,一般采用状态表、状态图去描述一个电路的逻辑功能。 1、逻辑函数表达式 (1) 输出函数表达式 反映电路输出Z与输入x和状态y之间关系表达式 (2) 激励函数表达

3、式 反映存储电路的输入Y与电路输入x和状态y之间的关系 (3) 次态函数表达式 反映同步时序电路次态yn+1与激励函数y和现态yn,2、状态表 反映同步时序电路输出Z、次态yn+1和电路输入x,现态y之间关系的表格。 3、状态图 反映同步时序电路状态转移规律及相应输入/输出取值关系的有向图。 4、时间图 用波形图的形式来表示输入信号、输出信号和电路状态等的取值在各时刻的对应关系。,同步时序逻辑电路分析,所谓时序逻辑电路分析,就是对一个给定的时序逻辑电路,研究在一系列输入信号作用下,电路将会产生怎样的输出,进而说明该电路的逻辑功能。 分析过程和方法 求取上面的几个步骤,逻辑电路图,列驱动方程和输

4、出方程表达式,状态方程,画状态图、状态表或时序图,分析电路逻辑功能,1,2,3,5,时序电路的分析步骤:,写次态变化真值表,4,例1:分析如下图时序逻辑电路,解:列写方程 时钟方程: CP0=CP1=CP2=CP 输出方程: C=Qn0Qn1Qn2 驱动方程: J0=K0=1, J1=K1=Qn0, J2=K2=Qn0Qn1,(2)将驱动方程代入JK触发器的特性方程,求各个触发器的状态方程。JK触发器的特性方程为 各个触发器的状态方程为 (3)根据状态方程和输出方程进行计算,列状态表,同步时序逻辑电路的状态表,画状态图,画时序图,例2、分析下面电路的功能,输出方程:,输出与输入有关,为Meal

5、y型时序电路。,同步时序电路,时钟方程省去。,驱动方程:,1,写方程式,2,求状态方程,T触发器的特性方程:,将各触发器的驱动方程代入,即得电路的状态方程:,3,计算、列状态表,4,5,电路功能,由状态图可以看出,当输入X 0时,在时钟脉冲CP的作用下,电路的4个状态按递增规律循环变化,即: 0001101100 当X1时,在时钟脉冲CP的作用下,电路的4个状态按递减规律循环变化,即: 0011100100 可见,该电路既具有递增计数功能,又具有递减计数功能,是一个2位二进制同步可逆计数器。,画状态图时序图,例,电路没有单独的输出,为穆尔型时序电路。,异步时序电路,时钟方程:,驱动方程:,1,

6、写方程式,2,求状态方程,D触发器的特性方程:,将各触发器的驱动方程代入,即得电路的状态方程:,3,计算、列状态表,4,5,电路功能,由状态图可以看出,在时钟脉冲CP的作用下,电路的8个状态按递减规律循环变化,即: 000111110101100011010001000 电路具有递减计数功能,是一个3位二进制异步减法计数器。,画状态图、时序图,同步时序逻辑电路的设计,设计步骤,建立原始状态表,直接从设计命题的文字描述得到的状态表称为原始状态表。 对时序命题的逻辑功能有了清楚的了解之后,才能建立正确的原始状态表。所谓正确也就是原始状态表中,状态个数不能少,状态之间的转移关系不能错。,例一:某序列

7、检测器有一个输入端x和一个输出端Z。从x端输入一组按时间顺序排列的串行二进制码。当输入序列中出现101时,输出Z1,否则Z0。试作出该序列检测器的原始状态表和原始状态图。 解题分析: (1) 逻辑功能框图,例二:假设某同步时序电路,用于检测串行输入的8421BCD码,其输入的顺序是先高位后低位,当出现非法数字(即输入1010,1011,1100,1101,1110,1111)时,电路的输出为1。试作出该时序电路的原始状态表和原始状态图。 解题分析: 根据题意,该电路有一个输入x,用来接收8421BCD码,对于所接收的8421BCD码判别,用输出Z来指示。 要求对输入的二进制码四位一组一组的检测

8、,要检测的序列有16种,这样我们建立原始状态图的过程就不同了。,例三:某一引爆装置,当引爆开关x闭合后(x1),经过4个时钟脉冲周期,即电路的输入序列x1111时,发出引爆信号(Z=1),使炸药包引爆。试作出该引爆装置的原始状态图和状态表。 解题分析: 1、 根据题意,设电路的初始状态为S0,,3、当接收到第二个1时,电路状态由S1转移到S2;,2、当电路接收到第一个1时,电路的状态由S0转移到S1;,4、接收到第三个1时,电路状态由S2转移到S3;,5、当接收到第四个1时,引爆装置将同炸药包一起爆炸,因此S3的次态可以为任意状态,用字母“d”表示,但是输出应为1。,状态化简,第一步作出的状态

9、图和状态表不一定是最简的,可能包含多余状态,状态个数的多少直接影响时序电路所需触发器的数目。因此需要对状态表进行化简,减少触发器和逻辑门的数目。 状态化简,就是从原始的状态表中消去冗余状态,得到一个最小化状态表。这个最小化状态表既能表征逻辑命题的全部要求,而且状态数又最少。 对于完全确定状态表和不完全确定状态表的化简方法有不同,分别讨论,完全确定状态表的化简,几个概念 等效状态:设状态S1和S2是完全确定状态表中的两个状态,如果对于所有可能的输入序列,分别从状态S1和状态S2出发,所得到的输出响应序列完全相同,则状态S1和S2是等效的,记作(S1,S2),或者说,状态S1和S2是等效对。 这里

10、所说的所有可能的输入序列,是指输入序列的长度和结构是任意的,它包含无穷多位,且有无穷多种组合。,等效状态的传递性:若状态S1和S2等效,状态S2和S3等效,则状态S1和S3也等效。 (S1,S2), (S2,S3) (S1,S2,S3) 等效类:彼此等效的状态集合,称为等效类。若有(S1,S2)和(S2,S3),则有(S1,S2,S3) 最大等效类:若一个等效类不是任何其它等效类的子类,则此等效类称为最大等效类。,即S2,S3在相同的输入序列下,次态和输出完全相同。例如,X=10111,初态为S2和S3时的次态和输出如下:,S2,S3可合并为一个状态,合并后用S2代替。画简后的状态转换图和转换

11、表如下:,完全确定状态化简的一般化简步骤: (1) 画状态隐含表 (2) 顺序比较,找相等状态对 (3) 关连比较,找最大相等类 (4) 确定最终的状态数 隐含表方法:先对原始状态表中的各状态进行两两比较,找出等价状态对;然后利用等价的传递性,得到等价类;最后确定一组等价类,以建立最简状态表。,化简方法,1. 画状态隐含表,隐含表是一个直角三角形网,两边网格数相等,等于状态数减1。,隐含表,2. 顺序比较,比较结果有三种: a 在输入作用下,两状态的输出不等,则这两个状态不等价,以“X”表示; b 在输入作用下,两状态的输出相等,所得到的次态也相同或交错相等,则两个状态等价, 以“”表示; c

12、 在输入作用下,两状态的输出相等,但次态不同,则这两个状态需要进一步比较(关连比较)才能确定是否等价。,根据等价状态的定义,两个状态是否等价的条件可归纳为两点: 第一,在各种输入取值下,它们的输出完全相同。 第二,在第一个条件满足的前提下,它们的次态满足下列条件之一,即: 次态相同; 次态交错; 次态循环; 次态对等价。,判断两个状态是否等价,3. 关连比较,进一步比较隐含表中所填的状态是否等价,可在隐含表上直接比较。有时需要多次比较才能确定两个状态是否等价。 上表中如果要确定A,B是否等价,则必须确定B,E是否等价。从隐含表中看出B,E不等价,所以A,B也不等价。同理,A,C也不等价。最后得

13、到下图所示的隐含表。,4. 确定最终的状态数,经过上述步骤后,即可确定出各个最大等价类。每个最大等价类只保留一个状态。 本例中的最大等价类有3个:A,(B,C),(D,E),每个等价类只保留一个状态:A,B,D, 则化简结果如下表:,状态化简实例,1:化简如下状态表,从关连比较结果得出以下五个等价类:(A,E),(B,F),C,D,G。 以状态 A,B,C ,D,G 作为最终状态则化简后的状态表如下:,不完全确定状态表的化简,对于某个状态的可应用定义,一个输入序列对于某个初始状态是可应用的定义:为机器处于该初始状态时,在输入序列作用下所有的后续状态都是确定的。,状态相容,不完全确定状态化简的一

14、般化简步骤: (1) 画状态隐含表 (2) 顺序比较,找相容状态对 (3) 画合并图,找最大相容类 (4) 作出最简状态表 这一组相容类必须满足以下3个条件: 覆盖性:所选相容类集合应包含原始状态表的全部状态。 最小性:所选相容类个数应最小。 闭合性:所选相容类集合中的任一相容类,在原始状态表中任一输入条件下产生的次态应该属于该集合中的某一个相容类。 同时具有覆盖、最小、闭合三个条件的相容类集合,称为最小闭覆盖,这就组成了最简状态表。,1、作隐含表,找相容状态对,2、作合并图,求最大相容类,由上图找出两个最大相容类: (A,B,F), (B,C,D,E,F),3、作最简状态表,所谓状态分配,是

15、指给最小化状态表中的每个字母或数字表示的状态,指定一个二进制代码,形成二进制状态表。 电路的状态是触发器状态的组合。如果电路有N个状态,2n-1N2n,则可用n个触发器来实现。,状态分配(编码),例如:四个状态A,B,C,D可用2个触发器实现,状态分配方案有多种,下表列出了其中3种。,在N2n 情况下,从2n 个状态中选取N个状态的组合方案共有:,而N个状态的排列有 N!种,所以状态分配方案共有:,状态分配的原则为: (1) 在相同输入条件下,具有相同次态的现态,应尽可能分配相邻的二进制代码。 (2) 在不同输入条件下,同一现态的次态应尽可能分配相邻的二进制代码。 (3) 输出完全相同的现态应

16、尽可能分配相邻的二进制代码。 以上3个原则中,第一条最重要,应优先考虑。,例 :对下表中的最简状态表进行状态分配,解: 有4个状态,选用两位触发器y1y0。,根据原则(1),AB,AC应相邻编码;,根据原则(2),CD,AC,BD,AB应相邻编码;,根据原则(3),AB,AC,BC应相邻编码。,综合上述要求,AB,AC应给予相邻编码,这是三个原则都要求的。,借用卡诺图,很容易得到满足上述相邻要求的状态分配方案,求激励函数和输出函数,(1)将二进制状态表变换成Y-Z矩阵 Y-Z矩阵描述在现态和输入共同作用下的次态情况,已经现态和输入共同决定的输出结果。 (2)由Y-Z矩阵变换成激励矩阵和输出矩阵 激励矩阵描述由现态和输入决定的激励的情况。,画逻辑电路图,(1) 先画出所选的触发器,并按状态表中状态变量的顺序给触发器编号。 (2) 根据激励函

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号