武汉理工大学eda 课设da接口(函数发生器)设计

上传人:ji****en 文档编号:107681902 上传时间:2019-10-20 格式:PDF 页数:24 大小:1.11MB
返回 下载 相关 举报
武汉理工大学eda 课设da接口(函数发生器)设计_第1页
第1页 / 共24页
武汉理工大学eda 课设da接口(函数发生器)设计_第2页
第2页 / 共24页
武汉理工大学eda 课设da接口(函数发生器)设计_第3页
第3页 / 共24页
武汉理工大学eda 课设da接口(函数发生器)设计_第4页
第4页 / 共24页
武汉理工大学eda 课设da接口(函数发生器)设计_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《武汉理工大学eda 课设da接口(函数发生器)设计》由会员分享,可在线阅读,更多相关《武汉理工大学eda 课设da接口(函数发生器)设计(24页珍藏版)》请在金锄头文库上搜索。

1、武汉理工大学EDA课程设计说明书 课程设计任务书课程设计任务书 学生姓名:学生姓名: 涂涂 飞飞 专业班级:专业班级: 电子电子科学与技术科学与技术 1303 班班 指导教师:指导教师: 梁小宇梁小宇 工作单位:工作单位: 信息工程学院信息工程学院 题题 目目: D/A 接口(函数发生器)的设计接口(函数发生器)的设计 一、一、 初始条件初始条件 计算机; Quartus II 软件;试验箱;示波器 二、二、 内容和要求内容和要求 1、学习 EDA 的读写和编写程序。 2、学习利用实验箱去验证程序,并完成设计。 3、使用实验仪器上现有的 D/A 转换器 AD5620 或 AD558。 4、采用

2、 CPLD 完成对 AD5620 或 AD558 的控制,并与 AD5620 或 AD558 结合完成四 种波形的产生(频率相同) ;递增斜波;递减斜波;三角波;递增斜梯波。 三、三、 时间安排时间安排 1、2016 年 1 月 18 日分班集中,布置课程设计任务、选题;讲解课设具体实施计划与 课程设计报告格式的要求;课设答疑事项。 2、2016 年 1 月 19 日 至 2016 年 1 月 21 日完成资料查阅、设计、制作与调试;完成 课程设计报告撰写。 3、2016 年 1 月 22 日提交课程设计报告,进行课程设计验收和答辩。 指导教师签名:指导教师签名: 年年 月月 日日 系主任(或

3、责任教师)签名:系主任(或责任教师)签名: 年年 月月 日日 武汉理工大学EDA课程设计说明书 目 录 摘 要 . I Abstract . II 1 绪论 1 1.1 EDA 简介 1 1.2 VHDL 简介 . 1 1.3 FPGA 简介 . 1 1.4 Quartus简介 . 2 1.5 D/A 转换器简介 2 2 设计方案 3 2.1 设计要求 3 2.2 设计思路 3 2.3 系统框图 4 3 程序设计 5 3.1 分频程序 5 3.2 递增斜波程序 5 3.3 递减斜波程序 6 3.4 三角波程序 6 3.5 递增阶梯波程序 6 3.6 波形选择程序 7 3.7 D/A 转换模块

4、7 3.7.1 D/A 转换器工作原理 7 3.7.2 TLC5620 工作原理 8 4 程序测试 . 10 4.1 程序编译 . 10 4.2 输出波形 . 10 5 心得与体会 . 13 参考文献 . 14 附录 . 15 武汉理工大学硬件描述语言与数字系统设计课程设计说明书 I 摘 要 本文介绍怎样利用 EDA 技术在 Quartus软件开发平台下, 用硬件描述语言 VHDL 设 计一个与外部 DA 转换器 TLC5620 组成函数发生器,然后由计算机自动地完成逻辑编译、 化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑 映射和编程下载等工作。程序下载到 C

5、PLD 芯片后可以通过对 TLC5620 芯片输出的递增 阶梯波,递增斜波,递减斜波以及三角波的检测得出设计是否正确的结论。 关键词关键词:VHDL ;Quartus;D/A 接口;函数发生器 武汉理工大学硬件描述语言与数字系统设计课程设计说明书 II Abstract This paper introduces how to use EDA Technology in the Quartus II software development platform and Hardware Describe Language VHDL design with the external DA conv

6、erter tlc5620 function generator is composed of, then by the computer automatically complete logic compiler, simplification, segmentation, synthesis, optimization, layout, layout and simulation until for a specific target chip adaptation compiler, logic programming and download maps, and so on. Afte

7、r downloading the program to the CPLD chip can be the output of the TLC5620 chip by increasing the step wave, increasing the oblique wave, descending oblique wave and triangle wave detection to draw the conclusion that the design is correct. Keywords:VHDL, Quartus, D/A interface, Function generator

8、武汉理工大学硬件描述语言与数字系统设计课程设计说明书 1 D/A 接口(函数发生器)的设计 1 绪论 在计算机技术的推动下 20 世纪末电子技术获得了飞速的发展,现代电子产品几乎渗 透于社会的各个领域,有利的推动了社会生产力的发展和社会信息化提高,同时又促使现 代电子产品性能的进一步提高,产品更新换代的节奏也越来越快。 1.1 EDA 简介 EDA(Electronic Design Automation)技术作为现代电子设计技术的核心,它依赖功能 强大的计算机在 EDA 工具软件平台上,对以硬件描述语言 HDL(Hardware Description Language)为系统逻辑描述手段完

9、成的设计文件,自动地完成逻辑化简、逻辑分割、逻辑综 合、结构综合(布局布线) ,以及逻辑优化和仿真测试等项功能。直至实现既定性能的电子 线路系统功能,EDA 技术使得设计者的工作几乎仅限于利用软件的方式,利用硬件描述语 言 VHDL,和 EDA 软件来完成对系统硬件功能的实现1。 1.2 VHDL 简介 VHDL 的英文全名是 VHSIC(Very-High-Speed Integrated Circuit)Hardware Description Language,于 1983 年由美国国防部发起创建,由 IEEE(The Institute of Electrical and Electr

10、onics Engineers)进一步发展并在 1987 年作为“IEEE 标准 1076”发布,从此 VHDL 成 为硬件描述语言的业界标准之一。自 IEEE 公布了 VHDL 的标准版本之后,各 EDA 公司 相继推出了自己的 VHDL 设计环境,或宣布自己的设计工具支持 VHDL。此后 VHDL 在 电子设计领域得到了广泛应用,并与 Verilog 一起逐步取代其他的非标准硬件描述语言2。 1.3 FPGA 简介 FPGA (FieldProgrammable Gate Array) , 即现场可编程门阵列, 它是大规模可编程逻 辑器件的另一大类 PLD 器件。GAL、CPLD 的都是基

11、于乘积项的可编程结构,即可编程的与 阵列和固定的或阵列组成, 而 FPGA 使用了另一种可编程逻辑的形成方法, 即可编程的查找 表(Look Up Table,LUT)结构,LUT 是可编程的最小逻辑构成单元,大部分 FPGA 采用基 武汉理工大学硬件描述语言与数字系统设计课程设计说明书 2 于 SRAM(静态随机存储器)的查找表逻辑形成结构,就是 SRAM 来构成逻辑函数发生器3。 1.4 Quartus简介 Quartus是 Altera 公司提供的 FPGA/CPLD 开发集成环境, Altera 是世界上最大的可 编程逻辑器件供应商之一。Quartus在 21 世纪初推出,是 Alte

12、ra 前一代 FPGA/CPLD 集 成开发环境 MAX+PULS的更新换代产品,其界面友好,使用便捷 4。在 Quartus上可以 完成自顶向下的设计技术的整个流程,它提供了一种与结构无关的设计环境,使设计者能 方便地进行设计输入、快速处理和器件编程。Altera 的 Quartus提供了完整的多平台设 计环境能满足各种特定设计的需要,也是单芯片可编程系统(SOPC)设计的综合性环境和 SOPC 开发的基本设计工具,并为 Altera DSP 开发包进行系统模型设计提供了集成综合环 境 5。 1.5 D/A 转换器简介 数模转换器,又称 D/A 转换器,简称 DAC,它是把数字量转变成模拟的

13、器件6。D/A 转换器基本上由 4 个部分组成, 即权电阻网络、 运算放大器、 基准电源和模拟开关。 TLC5620 是串行 8 位 D/A 转换芯片,应用 FPGA 可以完成对 TLC5620 的控制 7。TLC5620 与 FPGA 结合之后可以完成函数发生器的基本功能:波形输出。通过合适的 VHDL 语言程序可以完 成递增阶梯波,递增斜波,递减斜波以及三角波的输出。 武汉理工大学硬件描述语言与数字系统设计课程设计说明书 3 2 设计方案 2.1 设计要求 设计并实现一个DA转换控制器, 要求: 使用实验仪器上现有的D/A转换器TLC5620, 采用CPLD完成对TLC5620的控制, 并

14、与TLC5620结合完成四种波形的产生 (频率相同) : 递增斜波; 递减斜波; 三角波; 递增阶梯波。 并且可以通过选择开关选择相应的波形输出。 CPLD 是整个系统的核心, 构成系统控制器, 波形数据生成器, 加法器, 运算/译码等功能。 2.2 设计思路 本次 D/A 接口(函数发生器)的设计中,根据对 TLC5620 数据手册的分析可知:当 LOAD 引脚为高电平,在时钟下降沿到来时,DATA 端口的数据被读入,当所有位的数据 都被读入后,LOAD 引脚被拉低到低电平以此将串行输入寄存器中的数据传输给被选中 的 DAC,当 LDAC 引脚为低电平且 LOAD 引脚变为低电平时,选中的

15、DA 转换器输出相 应电压。根据对 TLC5620 功能的分析可知:利用 VHDL 语言编写源程序通过 CPLD 完成 对 TLC5620 的控制,并与 TLC5620 结合可以完成四种波形的产生。 具体设计方案如下:利用 VHDL 语言设计 0255 循环加法计数器、2550 循环减法 计数器、01280 循环加减计数器、0255 等梯度循环加法计数器便可分别完成递增斜 波、递减斜波、三角波、递增阶梯波的输出。在本次设计中我使用拨码开关来控制波形 的选择,当不同开关拨通时 TLC5620 就会输出对应波形。 在每个计数器输出端口和 7 段译码器中间添加一个输入频率为 1000HZ 的 4 选

16、 1 数据 选择器,并利用两个拨码开关实现输入数据的选择。由于实验箱上自带的时钟源频率为 20MHZ,为了得到 1000HZ 的频率,我还设计了一个分频器。以上即为本次 D/A 接口 (函数发生器)的主要设计方案。 武汉理工大学硬件描述语言与数字系统设计课程设计说明书 4 2.3 系统框图 选 择 时 钟 TLC 5620 递增斜波 复 位 FPGA 波 形 发 生 与 选 择 时 钟 分 频 递减斜波 递增阶梯波 三角波 武汉理工大学硬件描述语言与数字系统设计课程设计说明书 5 3 程序设计 根据自上而下模块化程序的设计思路进行项目设计。明确每个模块的功能以后,开始 编写各个模块的程序。 3.1 分频程序 if rising_edge(clk) then -频率调整 if xCLKMS,DIN=CNT,DOUT=D OUT,CLKOUT=CLKOUT,LOAD=LOAD

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 电子/通信 > 综合/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号