基于fpgaask调制解调器设计

上传人:ji****en 文档编号:107674463 上传时间:2019-10-20 格式:DOC 页数:28 大小:274.43KB
返回 下载 相关 举报
基于fpgaask调制解调器设计_第1页
第1页 / 共28页
基于fpgaask调制解调器设计_第2页
第2页 / 共28页
基于fpgaask调制解调器设计_第3页
第3页 / 共28页
基于fpgaask调制解调器设计_第4页
第4页 / 共28页
基于fpgaask调制解调器设计_第5页
第5页 / 共28页
点击查看更多>>
资源描述

《基于fpgaask调制解调器设计》由会员分享,可在线阅读,更多相关《基于fpgaask调制解调器设计(28页珍藏版)》请在金锄头文库上搜索。

1、 大连交通大学信息工程学院大连交通大学信息工程学院 毕毕 业业 设设 计计 ( (论论 文文) ) 题 目 基于 FPGA 的 ASK 调制解调器的设计 学生姓名学生姓名 刘师宇刘师宇 专业班级专业班级 信息工程信息工程 09-209-2 指导教师指导教师 徐佳徐佳 职职 称称 讲师讲师 所在单位所在单位 电气工程系信息工程教研室电气工程系信息工程教研室 教研室主任教研室主任 石桂名石桂名 完成日期完成日期 20132013 年年 6 6 月月 2828 日日 摘摘 要要 在科技高速发展的今天,作为现代通信系统的关键技术之一的调制解调技术 一直是人们研究的一个重要方向。在数字传输系统中,数字信

2、号对高频载波进 行调制,变成频带信号,在接收端进行解调,恢复原数字信号对载波的控制,可 使用振幅调制即振幅键控(ASK) 。现场可编程门阵列(FPGA)在通信领域得 到了广泛的应用,利用 FPGA 性能优越、使用方便的特点,可以简化振幅调制 解调电路的设计,而且易于反复编写和修改程序。基于 FPGA 的 ASK 调制解调 器具有外围电路简单,效率高,执行速度快,实用性高等特点。因此,这篇文 章介绍了通过对 VHDL 语言及 ASK 相关知识的学习,运用 VHDL 语言进行编 程和 Quartus II 软件进行仿真来实现基于 FPGA 的 ASK 调制解调器的设计,设 计出相应硬件设计的电路图

3、和软件设计并且得到仿真结果,完成了二进制基带 数字信号的调制和解调得,到了相应的调制信号和解调信号,进而完成基于 FPGA 的 ASK 调制解调器的设计。 关键词关键词:现场可编程门阵列 振幅键控 VHDL 调制解调 ABSTRACT In todays rapid development of science and technology. As one of the key technology of modern communication system of modulation demodulation technology has been research one of the

4、important direction. In digital transmission systems, digital signal of high frequency carrier wave modulation, into a frequency band signal, carries on the demodulation in the receiving end, restore the original digital signal control of the carrier can use amplitude modulation amplitude keying (AS

5、K). Field programmable gate array (FPGA) has been widely used in the field of communication, the advantage of the characteristics of FPGA is superior in performance, easy to use, can simplify the design of amplitude modulation demodulation circuit and easy to write and modify the program again and a

6、gain. ASK a modem based on FPGA has the peripheral circuit is simple, high efficiency, execution speed, high practicability etc. Therefore, this article introduces the based on the VHDL language and ASK the related knowledge of learning, using VHDL language programming and the Quartus II software si

7、mulation to achieve ASK modem based on the FPGA design, design the corresponding hardware circuit diagram and software design and get the simulation results, complete the binary baseband digital signal modulation and demodulation, obtained the corresponding modulation signal and demodulation signal,

8、 and then finish ASK modem design based on FPGA. Key words:FPGA ASK VHDL modulator and demodulator 目目 录录 1 前前 言言.1 1.1 课题背景课题背景1 1.1.1 ASK 调制解调背景知识1 1.1.2 FPGA 介绍.1 1.2 课题的主要研究工作课题的主要研究工作2 2 EDA 技术简介技术简介.3 2.1 QUARTUS II 简介简介 .3 2.1.1 Quartus II 的基本设计流程及工程的建立 .3 2.1.2 Quartus II 的原理图输入设计流程 .5 2.2 VH

9、DL 语言简介语言简介6 2.2.1 VHDL 的基本语言组成 7 2.2.2 VHDL 的基本语法 8 3 ASK 调制解调的原理调制解调的原理.11 3.1 ASK 调制原理调制原理 11 3.2 ASK 解调原理解调原理 12 3.3 FPGA 目标芯片介绍目标芯片介绍EPF10K10LC84.13 4 ASK 调制与解调调制与解调15 4.1 基于基于 FPGA 的的 ASK 调制系统调制系统 .15 4.1.1 基于 FPGA 的 ASK 调制系统电路设计及程序 15 4.1.2 基于 FPGA 的 ASK 调制系统仿真结果 16 4.2 基于基于 FPGA 的的 ASK 解调系统解

10、调系统 .17 4.2.1 基于 FPGA 的 ASK 解调系统电路设计及程序 17 4.2.2 基于 FPGA 的 ASK 解调系统仿真结果 18 结结 论论.20 谢谢 辞辞.21 参考文献参考文献.22 附附 录录.23 大连交通大学信息工程学院 2013 届本科生毕业设计(论文) 1 前前 言言 1.1 课题背景课题背景 1.1.1 ASK 调制解调背景知识 ASK 指的是幅移键控。它是根据信号的不同来调节正弦波的幅度。幅度键控可以 通过开关电路和乘法器来实现。载波在数字信号 1 或者 0 的控制下通或断:在信号为 1 的状态下,载波接通,此时传输信道上有载波出现;在信号为 0 的状态

11、下,载波关断, 此时传输信道上无载波传送。因此可以在接收端根据载波的有或无还原出数字信号的 1 和 0。幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是, 载波在二进制调制信号控制下通断,此时又可称作开关键控法1。 ASK 作为一种为数字调制传输的一种常用方式,具备有一些很重要的特点:第一, 基于 ASK 的神经网络算法用于解调处理,其抗干扰性能优于传统方法;第二,基于 ASK 的神经网络解调器有和传统解调器相似的处理单元;第三,解调系统为并行结构,所以处 理速度更快。 1.1.2 FPGA 介绍 FPGA 的原文是 Field Programmable Gate Arr

12、ay 元件可编程逻辑门阵列,是一个含 有可编辑元件的半导体设备,是一个可供使用者程式化的逻辑门元件。 FPGA 采用了逻辑单元阵列 LCA 的概念,其中分为可配置逻辑模块 CLB、输出输 入模块 IOB 和内部连线三个部分。FPGA 利用小型查找表(161RAM)来实现组合逻辑, 每个查找表连接到一个 D 触发器的输入端,然后触发器驱动其他逻辑电路或 I/O,由 此构成了即可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块。FPGA 的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储器单元中的值决定了 逻辑单元的逻辑功能以及各模块之间或模块与 I/O 间的联接方式,并最终决定了 F

13、PGA 所能实现的功能,加电时,FPGA 芯片将 EPROM 中数据读入片内编程 RAM 中,配置 完成后,FPGA 进入工作状态。掉电后,FPGA 恢复成白片,内部逻辑关系取消,因此, FPGA 能够反复使用。FPGA 的编程不必使用专用的 FPGA 编程器,只要用通用的 EPROM、PROM 编程器即可。当需要修改 FPGA 功能时,只需换一片 EPROM。这样, 同一片 FPGA,如果不同的编程数据,就可以实现不同的电路功能。FPGA 是由存放在 片内 RAM 中的程序来设置其工作状态的,因此,工作时需要对片内的 RAM 进行编程。 用户可以根据不同的配置模式,采用不同的编程方式5。 F

14、PGA 的基本特点: 1、采用 FPGA 设计 ASIC 电路(专用集成电路),用户不需要投片生产,就能得到 合用的芯片。 2、FPGA 可做全定制或半定制 ASIC 电路的中试样片。 大连交通大学信息工程学院 2013 届本科生毕业设计(论文) 3、FPGA 内部有丰富的触发器和 I/O 引脚。 4、FPGA 是 ASIC 电路中设计周期最短、开发费用最低、风险最小的器件之一。 5、FPGA 采用高速 CMOS 工艺,功耗低,可以与 CMOS、TTL 电平兼容。 FPGA 有多种配置模式:并行主模式为一片 FPGA 加一片 EPROM 的方式;主从模 式可以支持一片 PROM 编程多片 FP

15、GA;串行模式可以采用串行 PROM 编程 FPGA; 外设模式可以将 FPGA 作为微处理器的外设,由微处理器对其编程。如何实现快速的 时序收敛、降低功耗和成本、优化时钟管理并降低 FPGA 与 PCB 并行设计的复杂性等 问题,一直是采用 FPGA 的系统设计工程师需要考虑的关键问题。如今,随着 FPGA 向更高密度、更大容量、更低功耗和集成更多 IP 的方向发展,系统设计工程师在从这 些优异性能获益的同时,不得不面对由于 FPGA 前所未有的性能和能力水平而带来的 新的设计挑战。例如,领先 FPGA 厂商 Xilinx 最近推出的 Virtex-5 系列采用 65nm 工艺, 可提供高达

16、 33 万个逻辑单元、1,200 个 I/O 和大量硬 IP 块。超大容量和密度使复杂的 布线变得更加不可预测,由此带来更严重的时序收敛问题。此外,针对不同应用而集 成的更多数量的逻辑功能、DSP、嵌入式处理和接口模块,也让时钟管理和电压分配 问题变得更加困难。幸运地是,FPGA 厂商、EDA 工具供应商正在通力合作解决 65nm FPGA 独特的设计挑战。不久以前,Synplicity 与 Xilinx 宣布成立超大容量时序收 敛联合工作小组,旨在最大程度地帮助系统设计工程师以更快、更高效的方式应用 65nm FPGA 器件。设计软件供应商 Magma 推出的综合工具 Blast FPGA 能帮助建立优 化的布局,加快时序的收敛4。 1.2 课题的主要研究工作课题的主要研究工作 本课题是基于 FGPA 设计 ASK 调制解

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 电子/通信 > 综合/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号