pt100铂热电阻温度检测装置设计资料

上传人:E**** 文档编号:107597535 上传时间:2019-10-20 格式:PDF 页数:19 大小:1.72MB
返回 下载 相关 举报
pt100铂热电阻温度检测装置设计资料_第1页
第1页 / 共19页
pt100铂热电阻温度检测装置设计资料_第2页
第2页 / 共19页
pt100铂热电阻温度检测装置设计资料_第3页
第3页 / 共19页
pt100铂热电阻温度检测装置设计资料_第4页
第4页 / 共19页
pt100铂热电阻温度检测装置设计资料_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《pt100铂热电阻温度检测装置设计资料》由会员分享,可在线阅读,更多相关《pt100铂热电阻温度检测装置设计资料(19页珍藏版)》请在金锄头文库上搜索。

1、 电气与信息工程学院电气与信息工程学院 检测系统检测系统 课程设计说明书课程设计说明书 题 目: 热电阻温度检测装置设计 作 者: 沈韬 4140211118 专业班级: 14 电气本(1)班 指导教师: 蔡璐璐 职 称: 副教授 2017 年 1 月 6 日 电气与信息工程学院检测系统课程设计说明书 I 摘 要 针对课程设计中温度检测的要求,设计并实现了一种三线制 Pt100 温度传感器。 利用 Pt100 铂热电阻的电阻-温度函数关系, 将温度信号转换为电压信号, 经过两级 放大电路对电压信号进行放大, 再将电压信号转换为标准的电流信号输出。 在 A/D 温 度采集时, 利用精密电流电压转

2、换芯片, 将电流信号转换为标准的电压信号。 实践证 明, 该传感器有较高的稳定性和灵活性, 性能良好且容易实现, 成本低, 值得推广 应用。 关键词:Pt100 铂电阻;三线制;温度测量;电压跟随器 Abstract Using resistance and temperature function of Pt100 platinum thermal resistance, converting the temperature signal into a voltage signal, amplifying voltage signal through two-stage amplifier

3、circuit and then converting the voltage signal into standard current signal output. I n the A / D acquisition, using precise current and voltage conversion chips, converting current signal into standard voltage signal. The practice proves that the sensor has high stability and flexibility , is easy

4、to achieve and has good performance, low cost . Keywords: Pt100 Platinum resistance ;three-wire; sensor;Amplifying circuit; Voltage follower 电气与信息工程学院检测系统课程设计说明书 II 目 录 第 1 章 概述 1 第 2 章 铂电阻测温系统工作原理 - 1 - 2.1 信号采集电路设计 . - 1 - 2.1.1 恒流源电路 . - 2 - 2.1.2 单臂桥式电路 . - 2 - 2.1.3 电压放大及 A/D 转换接口电路 . - 3 - 2.1

5、.4 光耦隔离电路 . - 4 - 2.1.5 单片机控制与液晶显示接口电路 . - 5 - 第 3 章 系统软件设计 - 6 - 3.1 系统流程图 . - 6 - 3.2 软件设计 . - 7 - 第 4 章 仿真电路及实物设计 . - 9 - 4.1 仿真电路图 . - 9 - 4.2 实际温度测试 . - 10 - 4.2.1 PT100 的线性化处理 . - 10 - 4.2.2 实际电路图 - 10 - 参考文献 12 致谢 13 附录 1 14 附录 2 14 电气与信息工程学院检测系统课程设计说明书 - 1 - 第1章 概述 目前, 由于国内仍有相当部分工业企业所用的有关温度生

6、产线存在着控制精度不高, 温度均匀性差等问题,达不到工艺要求,造成装备运行成本费用高,产品的品质低下, 严重影响企业经济效益, 其主要原因是温度控制具有工况复杂, 参数多变, 运行惯性大, 控制滞后等特点。所以在实际控制中,温度的准确性和精度很难控制。由此可以看出, 准确地测量和控制温度,对于新材料的研究与生产,获得正确的科研数据和保证产品质 量都是十分重要的。无论在工业、农业、科学研究、国防和人们日常生活的各个方面, 温度测量和控制都是极为重要的课题1 。温度是表征物体冷热程度的物理量,在工业生 产、生活应用和科学研究中是一个非常重要的参数。在工业控制过程中需要对控制对象 进行温度监测,防止

7、控制对象由于温度过高而损坏,因此温度的实时监测就显得更加重要。 对温度的实时监测有利于对控制对象的及时检查、 保护,并及时调整温度的高低。 根据控 制系统设计要求的不同,温度监测系统的设计也有所变化,有采用集成芯片的,也有采用恒 流源器件和恒压源器件的。因铂热电阻具有测量范围大,稳定性好,示值复现性高和耐氧 化等优点,该系统采用 Pt100 铂热电阻作为温度感测元件,进行温度传感器的设计与实现 2-3。在设计中,将电压信号转换为标准的 420mA 电流信号,既省去昂贵的补偿导线, 又提高了信号长距离传送过程中的抗干扰能力。PT100 铂热电阻的阻值随着温度的变化 而变化,利用这一特点来采集温度

8、信号,将采集到的信号转换成电压信号;再经过 A/D 转换成数字信号并由单片机系统读取;单片机系统把读取到的数字信号进行识别处理, 并换算成与温度对应的数字信号,最后再由液晶显示器显示输出温度值。 本系统由 Pt100 铂电阻检测,经温度信号放大和数字处理变成统一的标准信号后送 给显示仪表,且将显示值记录下来,送到控制单元,在此与温度设定值进行比较,并进 行比例(P),积分(I)以及微分(D)运算后,其输出经放大器放大,推动执行机构,以脉宽 的形式控制执行机构电压的平均值,从而达到自动控制温度的目的。 第 2 章 铂电阻测温系统工作原理 2 2. .1 1 信号采集电路信号采集电路设计设计 温度

9、测量系统在单片机系统设计中应用广泛,根据单片机系统设计要求的不同,温 度测量系统的设计也有所不同,有采用集成芯片的,也有采用恒流源器件和恒压源器件 的2。本系统选用 PT100 铂热电阻作为温度信号采集元件,来进行温度测量系统设计。 硬件组成主要包括恒流源电路、电压放大、单臂桥式电路、A/D 转换接口电路、光耦隔 离电路、液晶显示电路 5 个组成部分。 电气与信息工程学院检测系统课程设计说明书 - 2 - 2.1.1 恒流源电路 恒流源电路如图 2.1 所示。其中芯片 OP07 为运算放大器,它和 5 个电阻组成恒流 源电路,在 VIN+处输出 1mA 的工作电流。图中 DGND=5V,VMC

10、=0V,有 4 个节点分 别是 Net1,Net2,Net3,Net4。设流过 R110 的电流为 Ia,流过 R114 的电流为 Ib,单位 为 mA,方向都向右。 则根据运放的虚断和虚短,则有方程: (111+ 110) + 114 113( 111)/112) ( 111) = 0 (2-1) 代入数据,有: 5 (10 + 1)+ 1 2(5 10)/10) (5 10) = 0 (2-2) 可算得+ = 1,而 Ia+Ib 即为所求电流 I,为 1mA。根据方程,可知要得到 Ia+Ib 为常数,必须满足: 113 R111 R112 R110= R114 (2-3) 所以,这个电路成

11、为恒流源的条件是: R111 R112 = ( R110+ R114) 113 (2-4) 如果R111= R112则必须R110+ R114= R113,此时,恒流值为I = DGNDR113/R112/ R114。 其中 J110 用于连接 PT100 铂热电阻。 图 2.1 恒流源电路 2.1.2 单臂桥式电路 Pt100 铂热电阻的电阻值变化范围通常小于 0.1%。如果直接用欧姆表测量其电阻 值,由于其电阻值很大,被测量的变化却很小,将产生很大的误差,所以多使用不平衡 电桥3来测量这一微小的变化量,将R/R 转换为输出电压 U。 电气与信息工程学院检测系统课程设计说明书 - 3 - 2

12、.1.3 电压放大及 A/D 转换接口电路 PT100 铂热电阻一端输出的电压很小,如果直接与 A/D 转换器相连接,则转换数据 偏差较大;所以本设计中将 PT100 铂热电阻一端输出的电压放大 10 倍后与电压跟随器 相连接,再进行 A/D 转换,这样就能得到较好的转换效果,如图 2.2 所示。精密放大器 INA118 和电压基准芯片 MC1403 组成放大电路, VIN+为 PT100 铂热电阻一端输出的电 压值;WIN-为基准电压源 MC1403 输出的电压值;VOUT 为放大后的输出电压值。计 算公式为:VOUT=G(VIN+)-(VIN-),其中 G 的大小由电阻 R120 来决定,

13、G=1+50k /R1204。芯片 OPA277 与外围电阻组成电压跟随器。 图 2.2 电压放大电路图 选用双积分型 3(1/2)位的 MC14433 芯片 A/D 转换器(相当于 11 位二进制数), MC14433 采用动态扫描 BCD 码输出方式,即千、百、十、个位 BCD 码轮流地在 Q0 Q4 端输出,同时在 DS1DS4 端出现同步字位选通信号5 ,6。如图 2.3 所示。MC1403 集成精密+2.5V 电压源经电位器分压后作为 A/D 转换的基准电压。MC14433 的 DU 端 与 EOC 端相连,以选择连续转换方式,每次转换结果都送至输出寄存器。EOC 是 A/D 转换结

14、束的输出标志信号。 单片机在读取 A/D 转换结果时, 可以采用中断方式或查询方 式。为使单片机能忙于其他任务,本设计系统采用中断方式。DU 端与 EOC 相连后经光 耦连至单片机的 INT1 端。 电气与信息工程学院检测系统课程设计说明书 - 4 - 图 2.3 A/D 转换接口电路图 在 MC14433 上电后,即对外部模拟输入电压信号进行 A/D 转换,由于 EOC 与 DU 端相连,每次转换完毕都有相应的 BCD 码及相应的选通信号出现在 Q0Q4 和 DS1 DS4 上。当单片机开放中断,允许 INT1 中断申请,并置外部中断为边沿触发方式,在 执行中断程序后,每次 A/D 转换结束

15、时,都将把 A/D 转换结果送入片内 RAM 中。 电压信号经过 MC14433A/D 转换后,再经光耦隔离电路传送给单片机,单片机处理 后由液晶显示器输出温度值。 2.1.4 光耦隔离电路 为使输入信号准确无误,在 I/O 口扩展芯片 8255 与 A/D 转换部分采用光耦进行隔 离。A/D 转换作为模拟量输入部分,采用独立模拟电源和模拟地。单片机及 I/O 口扩展 芯片 8255 作为数字部分采用独立数字电源和数字地,减少外部输入对单片机的影响。 Q0Q4 和 DS1DS4 用 2 片 TLP521-4 进行隔离后连接至扩展芯片 8255C 口上,电路 如图 2.4 所示。 电气与信息工程学院检测系统课程设计说明书 - 5 - 图 2.4 光耦隔离电路 2.1.5 单片机控制与液晶显示接口电路 本设计选用的是 12864 点阵的 OCMJ48C 中文液晶图形显示模块。C 系列中文 模块可以显示字母、数字符号、中文字型及图形,具有绘图及文字画面混合显示功能, 与传统的图形点阵液晶显示模块相比,单片机硬件接口电路以及软件编程比较简单,内 置 2Mb 中文字型 ROM(CGROM)总共提供 8192 个中文字型,可节省大量单片机设计的 ROM 空间,可更多地显示汉字字符的数量,更加发挥了液晶显示技术在单片机系统中 的应用7。OCMJ48C 模块非常适用于显示汉字

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号