数字逻辑系计报告模版2013年(自动保存的)

上传人:平*** 文档编号:10371640 上传时间:2017-10-07 格式:DOC 页数:12 大小:211.90KB
返回 下载 相关 举报
数字逻辑系计报告模版2013年(自动保存的)_第1页
第1页 / 共12页
数字逻辑系计报告模版2013年(自动保存的)_第2页
第2页 / 共12页
数字逻辑系计报告模版2013年(自动保存的)_第3页
第3页 / 共12页
数字逻辑系计报告模版2013年(自动保存的)_第4页
第4页 / 共12页
数字逻辑系计报告模版2013年(自动保存的)_第5页
第5页 / 共12页
点击查看更多>>
资源描述

《数字逻辑系计报告模版2013年(自动保存的)》由会员分享,可在线阅读,更多相关《数字逻辑系计报告模版2013年(自动保存的)(12页珍藏版)》请在金锄头文库上搜索。

1、JIU JIANG UNIVERSITY数字逻辑系统课程设计题 目 交通控制器的设计与分析 专 业 电子信息工程 班 级 电子工程学院 姓 名 童远亮 年 级 二零一一级 指导教师 盛健 二零一三年十二月- 2 -目 录1、摘 要 22、系统设计要求 .23、总体设计方案 .33.1.状态设置 33.2.系统框图 .3a.系统总框图 .3b.系统状态转换框图 .44、单元电路设计 .41.交通控制器的内部结构原理图.52.交通控制器的仿真图.55、系统设计程序.56、设计总结.117、参考文献 .11交 通 控 制 器1摘 要随着世界范围内城市化和机动化进程的加快,城市交通越来越成为一个全球化

2、的问题。城市交通基础设施供给滞后于高速机动化增长需求,道路堵塞日趋加重,交通事故频繁,环境污染加剧等问题普遍存在。目前,全国大中城市普遍存在着道路拥挤、车辆堵塞、交通秩序混乱的现象,交通事故频发,这给人民的生命财产安全带来了极大的损失。如何解决城市交通问题已成为全社会关注的焦点和大众的迫切呼声。 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。交通信号灯常用与交叉路口,用来控制车的流量,提高叉口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。交通控制器拟由单片的 CPLD/FPGA 来

3、实现,经分析设计要求,拟定整个系统由 9 个单元电路组成。关键词:交通灯 2.系统设计要求系统设计要求:设计一个由两干道的汇合点形成的十字交叉路口的交通灯控制器,具体要求如下:(1) 干道各设有一个绿、黄、红指示灯,两个显示数码管。(2) 一干道处于常允许通行状态,而另一干道禁止通行。 (3)干道每次放行 25 s,禁止放行 30s,在一干道禁止放行 30s 显示红灯时,另一干道显示 25s 的绿灯后,变成 5s 的黄灯,让后两灯同步黄灯变红灯,红灯变绿灯,并进行减计时显示。 交 通 控 制 器2红绿灯交通信号系统外观示意图如图 1 所示。3、 总体方案的设计3. 1 一个干道 2 个数码管进

4、行倒计时,3 个灯红绿黄相互转换,十字交叉路口就是 4 个数码管,6 个灯,编写出 3 个模块进行控制。3.2 系统图倒计数计时器绿灯黄灯红灯红 黄 绿灯 灯 灯交 通 控 制 器34、单元电路设计4.1 交通控制器内部逻辑结构原理图交通控制器拟由单片的 CPLD/FPGA 来实现,经分析设计要求,拟定整个系统由9 个单元电路组成,如图所示。交 通 控 制 器44.2 交通控制器的仿真图5、系统设计程序5.1 倒计时模块Count_25.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ent

5、ity count_25 isport ( clock:in std_logic;a_shi:out std_logic_vector(3 downto 0); -输入的数据a_ge:out std_logic_vector(3 downto 0);a_shi2:out std_logic_vector(3 downto 0); -输入的数据a_ge2:out std_logic_vector(3 downto 0);flag4:out std_logic_vector(2 downto 0);flag5:out std_logic_vector(2 downto 0);end;archite

6、cture bhv of count_25 issignal dis_shi: std_logic_vector(3 downto 0):=0010;signal dis_ge: std_logic_vector(3 downto 0):=0100;交 通 控 制 器5signal dis_shi2:std_logic_vector(3 downto 0):=0010;signal dis_ge2: std_logic_vector(3 downto 0):=1001;signal flag1: std_logic;signal flag2: std_logic_vector(2 downto

7、 0):=000;signal flag3: std_logic_vector(2 downto 0):=000;- signal flag4: std_logic;signal clock_count:std_logic_vector(27 downto 0);begin-*a_shi49999999) thenclock_count du du du du du du du du du du null;end case;end process;-*位选*process(clock)begincase sel iswhen 00 = wei wei wei wei null;end case

8、; end process;process(clock)begincase sel iswhen 00 = disp_data disp_data disp_data disp_data null;end case; end process;end;5.3 二极管模块led.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity led is交 通 控 制 器9port ( clock:in std_logic;ew_green:out std_logic; -输入的数据ew_yell

9、ow:out std_logic;ew_red:out std_logic; -输入的数据sn_green:out std_logic;sn_yellow:out std_logic;sn_red:out std_logic;flag5:in std_logic_vector(2 downto 0);flag4:in std_logic_vector(2 downto 0);end;architecture bhv of led isbeginprocess(clock)beginif(rising_edge(clock) thencase flag4 iswhen 000 = ew_gree

10、n ew_green ew_green ew_greennull;end case;if(flag5=010) thenew_green=1;交 通 控 制 器10ew_yellow=1;ew_red=0;sn_red=1;sn_green=1;sn_yellow=0;end if;end if;end process;end architecture;6、设计总结通过这次课程设计,加强了我们动手、思考和解决问题的能力。在设计过程中,经常会遇到这样那样的情况,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用知识,通过动手实践让我们更加熟练的掌握。此次设计,学到了很多课内学不到的东西,

11、比如独立思考解决问题,出现差错的随机应变,和与人合作共同提高,都受益非浅,今后的制作应该更轻松,自己也都能扛的起并高质量的完成项目。对我而言,知识上的收获重要,精神上的丰收更加可喜。让我知道了学无止境的道理。我们每一个人永远不能满足于现有的成就,人生就像在爬山,一座山峰的后面还有更高的山峰在等着你。挫折是一份财富,经历是一份拥有。这次课程设计必将成为我人生旅途上一个非常美好的回忆!7、参考文献1 高仁璟,孙鹏,郭景 数字电子技术基础与设计2 1 侯伯亨,顾新 .VHDL 硬件描述语言与数字逻辑电路设计 M .西安 :西安电子科技大学出版社, 1999.23 赖义汉 基于 VHDL 逻辑电路设计与应用4 杨庆 利用 VHDL 设计电路的简化问题

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 其它文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号