EDA技术与VHDL实用教程第3章QuartusII原理图输入法深入

上传人:鲁** 文档编号:592832974 上传时间:2024-09-22 格式:PPT 页数:34 大小:943.50KB
返回 下载 相关 举报
EDA技术与VHDL实用教程第3章QuartusII原理图输入法深入_第1页
第1页 / 共34页
EDA技术与VHDL实用教程第3章QuartusII原理图输入法深入_第2页
第2页 / 共34页
EDA技术与VHDL实用教程第3章QuartusII原理图输入法深入_第3页
第3页 / 共34页
EDA技术与VHDL实用教程第3章QuartusII原理图输入法深入_第4页
第4页 / 共34页
EDA技术与VHDL实用教程第3章QuartusII原理图输入法深入_第5页
第5页 / 共34页
点击查看更多>>
资源描述

《EDA技术与VHDL实用教程第3章QuartusII原理图输入法深入》由会员分享,可在线阅读,更多相关《EDA技术与VHDL实用教程第3章QuartusII原理图输入法深入(34页珍藏版)》请在金锄头文库上搜索。

1、LOGOEDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平第第3 3章章 Quartus II Quartus II原理原理图输入法深入图输入法深入 . .LOGOEDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平 要求要求 进一步掌握进一步掌握Quartus II原理图输入法。原理图输入法。 知识点知识点原理图输入法中原理图输入法中Max+plusII老式宏函数老式宏函数的应用的应用原理图输入法中原理图输入法中LPM函数的应用函数的应用原理图输入法中的层次化设计原理图输入法中的

2、层次化设计 重点和难点重点和难点 Max+plusII老式宏函数在原理图输入法老式宏函数在原理图输入法中的应用中的应用原理图输入法中的层次化设计原理图输入法中的层次化设计. .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平引引 言言 本章在第二章的基础上,对本章在第二章的基础上,对Quartus II的原理图输入设计法作进一步的原理图输入设计法作进一步的讨论。本章主要讨论原理图输入法中的讨论。本章主要讨论原理图输入法中的的Max+plusII老式宏函数的应用、老式宏函数的应用、LPM函数的应用和原理图输入法的层次化设函数的应用和原理

3、图输入法的层次化设计。计。 . .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平第第3章章 Quartus II原理图输入法深入原理图输入法深入原理图输入法中原理图输入法中Max+plusII老式宏老式宏函数的应用函数的应用 3.1原理图输入法中原理图输入法中LPM函数的应用函数的应用 3.2原理图输入法中的层次化设计原理图输入法中的层次化设计3.3. .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平31 原理图输入法中原理图输入法中Max+plusII老式宏函数的应用老

4、式宏函数的应用 在Quartus II原理图输入法中,可供使用的元件库除了基本逻辑元件库以外,还有Max+plusII库和LPM函数元件库。 . .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平Max+plusII库中收有库中收有Max+plusII老式宏函老式宏函数包括加法器、编码器和移位寄存器等数包括加法器、编码器和移位寄存器等74系系列器件。列器件。 综合使用基本逻辑元件库和综合使用基本逻辑元件库和Max+ plus II库的元件,可设计出大多数传统的方库的元件,可设计出大多数传统的方法所能设计的数字电路。对于用户已有的用法所

5、能设计的数字电路。对于用户已有的用74系列器件设计的数字电路,用户可根据原系列器件设计的数字电路,用户可根据原有的电路图很方便地用有的电路图很方便地用Quartus II设计出适设计出适用用FPGA/CPLD的电路来。的电路来。 . .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平例例31 用用74151设计一个三人表决电路。设计一个三人表决电路。三人表决电路的真值表如下:三人表决电路的真值表如下: abcy00000010010001111000101111011111. .EDAEDA技术与技术与VHDLVHDL实用教程实用教程

6、作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平其最小项表达式是:其最小项表达式是:根据根据y的最小项表达式,就可知道使用的最小项表达式,就可知道使用74151设计的三人表决电路的原理图。设计的三人表决电路的原理图。 . .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平同时,波形仿真结果如下:同时,波形仿真结果如下:. .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平例例32 用两块用两块74161设计一个五十进制的计数设计一个五十进制的计数器。器。 74161是是4

7、位二进制加法计数器,将两块位二进制加法计数器,将两块74161可得八位二进制加法计数器。其模是可得八位二进制加法计数器。其模是256。如果计数器从开始。如果计数器从开始0计数,要构成五十计数,要构成五十进制的计数器,当计数器的值计到十进制数进制的计数器,当计数器的值计到十进制数49即二进制数即二进制数00110001时,要让两块时,要让两块74161的同步置数端有效,使两块的同步置数端有效,使两块74161同时置零。同时置零。根据此要求设计电路如下图。根据此要求设计电路如下图。. .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平.

8、.EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平其仿真波形如图:其仿真波形如图: . .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平【重点提示】在原理图输入法中,有三种元件【重点提示】在原理图输入法中,有三种元件之间的连线,节点之间的连线,节点node)、总线)、总线bus和一般的不是节点和总线的连线。当将鼠标和一般的不是节点和总线的连线。当将鼠标放到元件的可连接处,将会出现一十字形,放到元件的可连接处,将会出现一十字形,这时按下鼠标左键画线,默认为节点。若要这时按下鼠

9、标左键画线,默认为节点。若要画总线,可先画节点,然后用鼠标按住连线画总线,可先画节点,然后用鼠标按住连线右击鼠标,选择右击鼠标,选择bus line,将其改为总线即,将其改为总线即可。要画一般连线可使用左边工具箱中的可。要画一般连线可使用左边工具箱中的line tool工具画线。亦可使用左边工具箱中工具画线。亦可使用左边工具箱中的的orthog onal node tool或或orthogonal bus tool工具画直角的节点或总线。节点或工具画直角的节点或总线。节点或总线可通过用鼠标左键选择后,输入其名字总线可通过用鼠标左键选择后,输入其名字加以命名。加以命名。 . .EDAEDA技术与

10、技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平 随着设计的数字系统越来越复杂,系统中随着设计的数字系统越来越复杂,系统中每个模块都要从头开始设计是非常困难的。每个模块都要从头开始设计是非常困难的。IPIntellectual Property即知识产权的出现即知识产权的出现使得设计过程变得简单得多。使得设计过程变得简单得多。IP核是指将一些核是指将一些在数字电路中常用但比较复杂的功能块,设计在数字电路中常用但比较复杂的功能块,设计成参数可修改的模块,让其他用户可以直接调成参数可修改的模块,让其他用户可以直接调用这些模块。用户可以在自己的用这些模块。用

11、户可以在自己的FPGA设计中设计中使用这些严格测试和优化过的模块,减少设计使用这些严格测试和优化过的模块,减少设计和调试时间,降低开发成本,提高开发效率。和调试时间,降低开发成本,提高开发效率。 32原理图输入法中原理图输入法中LPM函数的应用函数的应用 . .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平IP包括基本宏功能包括基本宏功能(Megafuncition/PLM)和和(MegaCore)两种,在两种,在Altera的开发工具的开发工具Quatrus II中,有一些内带的基本宏功能中,有一些内带的基本宏功能(Megafun

12、cition/PLM)可供用户使用,本节可供用户使用,本节主要介绍这些主要介绍这些Quatrus II内带的基本宏功能。内带的基本宏功能。基本宏功能可在原理图设计输入法中使用,基本宏功能可在原理图设计输入法中使用,也可在也可在HDL设计输入法中使用,本节介绍的设计输入法中使用,本节介绍的是基本宏功能在原理图设计输入法中使用,是基本宏功能在原理图设计输入法中使用,后者在第五章再做介绍。后者在第五章再做介绍。 . .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平一、一、Quatrus II中内带基本宏功能介绍中内带基本宏功能介绍类型类型

13、描述描述基本宏功能基本宏功能算术组算术组件件包括累加器、加包括累加器、加法器、乘法器和法器、乘法器和LPMLPM算术函数算术函数altaccumulate lpm_abs altaccumulate lpm_abs altfp_mult lpm_add_sub altfp_mult lpm_add_sub altmemmult lpm_compare altmemmult lpm_compare altmult_accum lpm_counter altmult_accum lpm_counter altmult_add lpm_divide altsqrt altmult_add lpm_d

14、ivide altsqrt lpm_mult altsquare parallel_add lpm_mult altsquare parallel_add divide divide 门门包括多路复用器包括多路复用器和和LPMLPM门函数门函数busmux lpm_inv lpm_and lpm_mux busmux lpm_inv lpm_and lpm_mux lpm_bustri lpm_or lpm_clshift lpm_bustri lpm_or lpm_clshift lpm_xor lpm_constant mux lpm_xor lpm_constant mux lpm_de

15、codelpm_decode存储器存储器编译器编译器FIFO partitioner altcsmem (FIFO partitioner). .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平I/OI/O组件组件包括时钟数据恢复、包括时钟数据恢复、锁相环、双数据速锁相环、双数据速率、千兆位收发器率、千兆位收发器块块LVDSLVDS收发器、收发器、PLLPLL重新配置和远重新配置和远程更新宏功能模块程更新宏功能模块altcdr_rx altdqs altcdr_tx altgxb altcdr_rx altdqs altcdr_tx

16、altgxb altclkctrl altlvds_rx altclklock altclkctrl altlvds_rx altclklock altlvds_tx altddio_bidir altpll altlvds_tx altddio_bidir altpll altddio_in altpll_reconfig altddio_in altpll_reconfig altddio_out altremote_update altdq altddio_out altremote_update altdq altufm_oscaltufm_oscSignalTSignalTap II

17、ap II逻逻辑分析辑分析组件组件SignalTap II SignalTap II Logic Analyzer Logic Analyzer MegafunctionMegafunctionsld_signaltap存储组存储组件件存储器、移位寄存存储器、移位寄存器宏模块和器宏模块和LPMLPM存存储器函数储器函数alt3pram scfifo altcam lpm_ff alt3pram scfifo altcam lpm_ff altdpram lpm_fifo altqpram altdpram lpm_fifo altqpram lpm_fifo_dc altshift_taps

18、lpm_latch lpm_fifo_dc altshift_taps lpm_latch altsyncram lpm_ram_dp altufm_i2c altsyncram lpm_ram_dp altufm_i2c lpm_ram_dq altufm_none lpm_ram_io lpm_ram_dq altufm_none lpm_ram_io lpm_rom altufm_spi lpm_shiftreg lpm_rom altufm_spi lpm_shiftreg csdpram lpm_dff csfifo lpm_tff csdpram lpm_dff csfifo lp

19、m_tff dcfifo altufm_paralleldcfifo altufm_parallel. .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平例例34 lpm_mult 宏函数应用宏函数应用二、基本宏功能应用实例. .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平通过编译仿真,其仿真波形如图通过编译仿真,其仿真波形如图 :. .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平例例35 lpm_count

20、er 宏函数应用宏函数应用. .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平波形仿真结果:波形仿真结果:. .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平例例36 lpm_bustri 宏函数应用宏函数应用 . .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平通过编译仿真,其仿真波形如下图。通过编译仿真,其仿真波形如下图。. .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏

21、莉萍 陈东陈东 廖超平廖超平例例37 lpm_fifo 宏函数应用宏函数应用. .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平通过编译仿真,其仿真波形如图通过编译仿真,其仿真波形如图 :. .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平 层次化设计也称层次化设计也称“自顶向下设计方法,自顶向下设计方法,即将一个大的设计项目分解为若干子项目或即将一个大的设计项目分解为若干子项目或若干层次来完成。划分是从顶层由高往下,若干层次来完成。划分是从顶层由高往下,而设计则可先设计

22、底层的电路,然后在高层而设计则可先设计底层的电路,然后在高层次的设计中,逐级调用低层次的设计结果。次的设计中,逐级调用低层次的设计结果。原理图输入法可很方便地进行层次化设计。原理图输入法可很方便地进行层次化设计。一般层次化设计法用于较大的项目,但由于一般层次化设计法用于较大的项目,但由于篇幅的原因,下面用一个不是很大的项目来篇幅的原因,下面用一个不是很大的项目来说明层次化设计法。说明层次化设计法。 33原理图输入法中的层次化设计原理图输入法中的层次化设计 . . .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平例例38 用层次化设计

23、设计一个两位二进制数用层次化设计设计一个两位二进制数乘法器。乘法器。1系统分析系统分析两位二进制数相乘,最多可得四位二进制数,两位二进制数相乘,最多可得四位二进制数,系统可分解为两个半加器和几个与门联结系统可分解为两个半加器和几个与门联结而成。而成。 2底层电路半加器设计底层电路半加器设计 . .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平半加器电路真值表半加器电路真值表absc0000011010101101 由半加器的真值表可得,半加器的逻辑表由半加器的真值表可得,半加器的逻辑表由半加器的真值表可得,半加器的逻辑表由半加器的真

24、值表可得,半加器的逻辑表达式如下:达式如下:达式如下:达式如下: s = a XOR b s = a XOR b c = a AND b c = a AND b . .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平根据半加器的逻辑表达式,可按下图设计根据半加器的逻辑表达式,可按下图设计hadd.bdf文件。把文件。把hadd.bdf文件存放到文文件存放到文件夹件夹hadd内,并以此文件建立工程,编译内,并以此文件建立工程,编译通过,执行通过,执行File =Greate/Update = Greate Symbol Files fo

25、r Current File命命令,生成符号令,生成符号hadd.bsf。 . .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平3顶层电路两位二进制数乘法器设计顶层电路两位二进制数乘法器设计根据系统分析所得结论,可按后页图设计两位二根据系统分析所得结论,可按后页图设计两位二进制数乘法器电路。进制数乘法器电路。新建一个工程文件夹新建一个工程文件夹mult2,把,把hadd.bdf、hadd.bsf文件放入其中,新建一个原理图文件,文件放入其中,新建一个原理图文件,使用插入符号命令,出现选择符号的界面,选使用插入符号命令,出现选择符号的

26、界面,选择择hadd.bsf将它放置于原理图编辑区中,以将它放置于原理图编辑区中,以mult2.bdf命名并保存到命名并保存到mult2文件夹中。以此文件夹中。以此文件新建工程。调出有关其他元件并按下图连文件新建工程。调出有关其他元件并按下图连线,保管、编译并通过仿真。线,保管、编译并通过仿真。 . .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平. .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平通过编译仿真,其仿真波形如图通过编译仿真,其仿真波形如图 : 本例的底层电

27、路符号用原理图设计输入法设计本例的底层电路符号用原理图设计输入法设计本例的底层电路符号用原理图设计输入法设计本例的底层电路符号用原理图设计输入法设计后生成,还可以用以后介绍的文本设计输入法设计后生成,还可以用以后介绍的文本设计输入法设计后生成,还可以用以后介绍的文本设计输入法设计后生成,还可以用以后介绍的文本设计输入法设计后生成,这样的设计方法称混合设计输入法。后生成,这样的设计方法称混合设计输入法。后生成,这样的设计方法称混合设计输入法。后生成,这样的设计方法称混合设计输入法。 . .EDAEDA技术与技术与VHDLVHDL实用教程实用教程作者作者: : 苏莉萍苏莉萍 陈东陈东 廖超平廖超平本章小结本章小结Quartus IIQuartus II原理图输入法深入原理图输入法深入原理图输入法中原理图输入法中Max+plusIIMax+plusII老式宏函数的应用老式宏函数的应用原理图输入法中原理图输入法中LPMLPM函数的应用函数的应用原理图输入法中的层次化设计原理图输入法中的层次化设计. .

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 医学/心理学 > 基础医学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号