T触发器与T触发器

上传人:博****1 文档编号:592582165 上传时间:2024-09-21 格式:PPT 页数:7 大小:505.28KB
返回 下载 相关 举报
T触发器与T触发器_第1页
第1页 / 共7页
T触发器与T触发器_第2页
第2页 / 共7页
T触发器与T触发器_第3页
第3页 / 共7页
T触发器与T触发器_第4页
第4页 / 共7页
T触发器与T触发器_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《T触发器与T触发器》由会员分享,可在线阅读,更多相关《T触发器与T触发器(7页珍藏版)》请在金锄头文库上搜索。

1、VHDL实验之T触发器与T触发器实验环境:WindowsXP仿真软件:QuartusIIv5.0设计方法:行为描述法T触发器功能概述CP=0时,触发器不工作,处于维持状态CP=1时,触发器的功能如下:T=0时,次态=现态;T=1时,次态与现态相反:触发器翻转T触发器状态转移真值表T触发器激励表TQn+10Qn1NOT(Qn)Qn Qn+1T000011101110T触发器实验编码LIBRARY IEEE;USE IEEE.std_logic_1164.ALL;ENTITY basic_tff ISPORT( T : IN std_logic; CP: IN std_logic; Q : OUT

2、 std_logic; QB: OUT std_logic );END basic_tff;ARCHITECTURE rtl OF basic_tff IS SIGNAL q_temp:std_logic;BEGINPROCESS(CP)BEGIN IF(CPevent AND CP =1)THEN IF(T =1)THEN q_temp = NOT q_temp; ELSE q_temp = q_temp; END IF; END IF;END PROCESS;Q = q_temp;QB = NOT q_temp;END rtl;T触发器功能概述CPCP=0时,处于维持状态CP=1时,次态与

3、现态相反:触发器翻转T触发器实验编码LIBRARY IEEE;USE IEEE.std_logic_1164.ALL;ENTITY Extended_tff ISPORT( CP :IN std_logic; Q :OUT std_logic; QB:OUT std_logic);END Extended_tff;ARCHITECTURE rtl OF Extended_tff IS SIGNAL q_temp:std_logic;BEGIN PROCESS(CP) BEGINIF(CPevent AND CP =1) THEN q_temp = NOT q_temp;ELSE q_temp = q_temp;END IF; END PROCESS; Q = q_temp; QB = NOT q_temp;END rtl;演示完毕,谢谢制作人:仝珂

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 医学/心理学 > 基础医学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号