单稳态触发器课件

上传人:鲁** 文档编号:592544014 上传时间:2024-09-21 格式:PPT 页数:54 大小:1.57MB
返回 下载 相关 举报
单稳态触发器课件_第1页
第1页 / 共54页
单稳态触发器课件_第2页
第2页 / 共54页
单稳态触发器课件_第3页
第3页 / 共54页
单稳态触发器课件_第4页
第4页 / 共54页
单稳态触发器课件_第5页
第5页 / 共54页
点击查看更多>>
资源描述

《单稳态触发器课件》由会员分享,可在线阅读,更多相关《单稳态触发器课件(54页珍藏版)》请在金锄头文库上搜索。

1、单稳态触发器施密特触发器多谐振荡器555定时器构成RC耦合式石英晶体振荡器第六章第六章 脉冲波形的产生与变换脉冲波形的产生与变换课堂教学设计结构框架课堂教学设计结构框架引出脉冲波形的产生与变换任务驱动任务驱动+案例案例+演示演示 引入电子时钟电路课件集成555定时器电路构成仿真演示原理分析工作原理电路结构小结小结结束开始开始师生和谐互动本章教学基本要求本章教学基本要求: :熟悉熟悉: (1)555定时器电路的结构、工作原理和引脚功能. (2) 由555定时器组成的单稳态触发器、多谐振荡和施密特触发器的电路、工作波形和参数的计算。 (3)集成单稳态触发器和集成施特触以器的应用电路。了解:了解:石

2、英晶体和门电路构成的方波发生器的基本电路。 555555定时器是中规模集成电路。只要外接少量的阻容定时器是中规模集成电路。只要外接少量的阻容元件,就可以很方便地构成单稳态触发器、多谐振荡器、元件,就可以很方便地构成单稳态触发器、多谐振荡器、和施密特触发器。和施密特触发器。 根据内部器件类型可分为双极型根据内部器件类型可分为双极型(TTL(TTL型型) )和单极型和单极型(CMOS(CMOS型型),),双极型型号为双极型型号为555(555(单单) )和和556(556(双双) ),电压使用范围,电压使用范围为为5 5到到16V16V,输出最大负载电流可达到,输出最大负载电流可达到200mA20

3、0mA。单极型型号为。单极型型号为7555(7555(单单) )和和7556(7556(双双) ),电压使用范围为,电压使用范围为3 3到到18V18V。输出最大。输出最大负载电流为负载电流为4mA4mA。6.1 6.1 集成集成555555定时器定时器下图为下图为:双极型双极型555定时器内部逻辑电路结构图和逻辑符号图。定时器内部逻辑电路结构图和逻辑符号图。三个5k电阻构成分压器当当u+ u-时,输出时,输出uc c为高电平为高电平(1 1态)。态)。当当u+ 1/3 VCC) )。 当当 uC 2/3 VCC 时,满足时,满足TR = uI 1/3 VCC,TH = uI 2/3 VCC,

4、因此因此 uO 为低电平,为低电平,V 导通,电容导通,电容 C 经经放电管放电管 V 迅速放电完毕,迅速放电完毕,uC 0 V。这时这时TR = UIH 1/3 VCC,TH = uC 0 2/3 VCC,uO 保持保持低电平不变。因此,低电平不变。因此,稳态时稳态时 uC 0 V,uO 为低电平。为低电平。充电充电工作原理工作原理 导通导通放电放电VuCOtOuOtUOLUOHtWOOtUIHuItWIVCC0VUOLUIH 2. 触发进入暂稳态触发进入暂稳态 uCOtOuOtUOLUOHtWOOtUIHuItWIVCC当输入当输入 uI 由高电平跃变为低电平由高电平跃变为低电平( (应应

5、 1/3 VCC ) )时,使时,使 TR = UIL1/3 VCC而而TH = uC 0 V 2/3 VCC,因此,因此 uO 跃变为跃变为高电平,进入暂稳态高电平,进入暂稳态,这时放电管,这时放电管 V截止,截止,VCC 又经又经 R 向向 C 充电,充电,uC 上升上升。UILUOH充电充电 3. 自动返回稳定状态自动返回稳定状态 uCOtOuOtUOLUOHtWOOtUIHuItWIVCC 2. 触发进入暂稳态触发进入暂稳态 UIHUOL TH2/3 VCC放电放电V当输入当输入 uI 由高电平跃变为低电平由高电平跃变为低电平( (应应 1/3 VCC) )时,使时,使 TR = UI

6、L1/3 VCC 而而TH = uC 0 V 1/3 VCC ) ),因此,因此 uO 重新跃变重新跃变为低电平。为低电平。同时,放电管导通,同时,放电管导通,C 经经 V 迅速放电迅速放电 uC 0 V,放电完毕放电完毕后,电路返回稳态。后,电路返回稳态。 例例 用上述单稳态电路输出定时用上述单稳态电路输出定时时间为时间为1 s 的的正脉冲,正脉冲,R = 27 k ,试确定定时元件试确定定时元件 C 的取值。的取值。 uCOtOuOtUOLUOHtWOOtUIHuItWIVCC输出脉冲宽度输出脉冲宽度 tW 即为暂稳态维持时即为暂稳态维持时间,主要取决于充放电元件间,主要取决于充放电元件

7、R、C。 该单稳态触发器为不可重复触发器,该单稳态触发器为不可重复触发器,且要求输入脉宽且要求输入脉宽 tWI 小于输出脉宽小于输出脉宽 tWO 。解:解: 因为因为 tWO 1.1 RC故故可取标称值可取标称值 33 F。估算公式估算公式 tWO 1.1 RC(3 3)应用举例)应用举例1、脉宽的定时、脉宽的定时 由于单稳态电路能产生一定由于单稳态电路能产生一定宽度宽度tW 的矩形脉冲,利用这个脉的矩形脉冲,利用这个脉冲可以控制某电路在冲可以控制某电路在 tW时间内动时间内动作,这就是脉宽的定时作用。作,这就是脉宽的定时作用。如左图所示,定时电路只有在输如左图所示,定时电路只有在输入入uI下

8、跳沿触发下,使单稳态电下跳沿触发下,使单稳态电路产生脉冲定时信号路产生脉冲定时信号uB,在,在 tW的时间内,信号的时间内,信号uA 才通过与门才通过与门输出。输出。 通通过过组成单稳态触发器的电路很多,可以用组成单稳态触发器的电路很多,可以用TTL或或CMOS的与非的与非门、或非门外接门、或非门外接R、C元件组成,另外还有单片集成单稳态触发器元件组成,另外还有单片集成单稳态触发器电路。电路。1. 微分型单稳态触发器微分型单稳态触发器由由CMOS或非门组成的微分型单稳态触发器。其中或非门组成的微分型单稳态触发器。其中环节构成环节构成微分电路,故称为微分型单稳态触发器。微分电路,故称为微分型单稳

9、态触发器。为了讨论方便,把或非门的传输特性作理想化折线处理。为了讨论方便,把或非门的传输特性作理想化折线处理。6.2.2 6.2.2 集成和其它单稳态触发器集成和其它单稳态触发器使输出状态使输出状态发生翻转发生翻转的的输入电压输入电压称为称为阈值电压阈值电压UTH,当输入当输入uI UTH 时,输出出UO= 0 当输入当输入uI UTH 时,时, 输出输出UO =V DD 、稳定状态、稳定状态 在在0t1 期间,当输入没有触期间,当输入没有触发信号时,发信号时,Ui = 0= 0。这时电路处于。这时电路处于稳态,电源稳态,电源VDD通过电阻通过电阻对对充充电达到稳定值,电达到稳定值, 故故UC

10、 VDD ,使,使UO 。由此可知或非门由此可知或非门输出输出UO VDD 。电容两端的电压接近。电容两端的电压接近0 0。通过R对充电一、电路组成及工作原理一、电路组成及工作原理、当外加触发信号时,电路由、当外加触发信号时,电路由稳态转为暂稳态稳态转为暂稳态在在t t1 1时刻,当输入时刻,当输入u uI I在脉冲信号上在脉冲信号上升沿的高电平升沿的高电平V VDDDD作用下,使作用下,使U UO1O1由由跳变到时,由于电路中电跳变到时,由于电路中电容上的电压不能突变,因此容上的电压不能突变,因此U UC C也也由变到,使由变到,使G G2 2门输出由变到门输出由变到,并返送到,并返送到门的

11、输入。输入门的输入。输入信号信号u uI I高电平撤消后,高电平撤消后,u uo1o1仍可保持仍可保持低电平,但不可能永久保持,故称低电平,但不可能永久保持,故称为暂稳态。为暂稳态。一、电路组成及工作原理一、电路组成及工作原理一、电路组成及工作原理一、电路组成及工作原理在在t t11t t2 2暂稳态期间,电源暂稳态期间,电源V VDDDD经电经电阻通过门阻通过门的导通管的导通管4 4对电容对电容充电,如图所示。充电时间常数充电,如图所示。充电时间常数为为t tc c=(R+R=(R+Ronon)CRC)CRC这时这时u uc c上升,上升,当当u uc cTHTH时,电路发生如下反馈时,电路

12、发生如下反馈过程。过程。 充电充电uuc cuuo ouuo1o1迅速使迅速使u uO1O1变为高电平,变为高电平,u uO O变为低电变为低电平,电路自动恢复到稳态,平,电路自动恢复到稳态,uO1由由跳变到跳变到V VDDDD ,由于电容两端电压,由于电容两端电压不能突变,按理不能突变,按理u uc c由由U UTHTH上跳到上跳到U UTHTH+V+VDDDD,u uc c只能跃升到只能跃升到V VDDDD。电容充、放电回路图电容充、放电回路图一、电路组成及工作原理一、电路组成及工作原理、电路恢复到稳态时初始值的、电路恢复到稳态时初始值的过程过程在在t t2 2时刻,暂稳态结束后,电容时刻

13、,暂稳态结束后,电容一路通过一路通过 经门经门的的T1 1、T2 2管放电,另一路经门管放电,另一路经门G2 2输入二输入二极管极管D D1 1、D D3 3放电,使放电,使u uc c恢复到稳恢复到稳态时的原始值态时的原始值V VDDDD。放电时间常。放电时间常数数d d =(Rr=(RrD D)Cr)CrD DC C。放。放电时间很短。电时间很短。电容充、放电回路图电容充、放电回路图二、输出波形主要参数的计算二、输出波形主要参数的计算、输出脉冲宽度、输出脉冲宽度two暂稳态暂稳态t1t2的时间即为输出脉冲宽度的时间即为输出脉冲宽度two。为计算方便,以。为计算方便,以t1时刻作为计时刻作为

14、计算时间起点,由算时间起点,由uc波形可得:波形可得:2 2、恢复时间、恢复时间t trere从暂稳态结束到电路恢复到稳态初始值所需时间从暂稳态结束到电路恢复到稳态初始值所需时间 tre3rd3rDC。3 3、最高工作频率、最高工作频率f fmaxmax触发脉冲工作最小周期触发脉冲工作最小周期 Tmin 必须大于必须大于 two+tre, 输入脉宽输入脉宽 tw1two, 最最高工作频率为高工作频率为 fmax=1/Tmin1/(two+tre)Uc(0+)0, u0, uc()=VDD, uc(tW)=UTH=1/2VDD, RC 2 集成单稳态触发器集成单稳态触发器高速高速CMOS集成双可

15、重触发单稳态触发器集成双可重触发单稳态触发器54/74HC4538的功能。的功能。54/74HC4538双可重触发单稳态触发器双可重触发单稳态触发器逻辑符号图逻辑符号图符号框图及外接符号框图及外接R、C连线图连线图Rext/Cext和和Cext的引脚分别与的引脚分别与电容元件相连,不属于逻辑状态电容元件相连,不属于逻辑状态连接,故在逻辑符号图引线上连接,故在逻辑符号图引线上用用“”号表示。号表示。TR+为上升沿触发信号输入端,为上升沿触发信号输入端,TR-反为下降沿触发信号输入端,反为下降沿触发信号输入端,这两者为这两者为“或或”的关系,故逻辑的关系,故逻辑符号内有或逻辑符号。符号内有或逻辑符

16、号。序号序号输入入输出出功能功能RD反反TR+TR-反反QQ反反10XX01复位清零复位清零21上升沿上升沿1高脉冲高脉冲低脉冲低脉冲上升沿触上升沿触发310下降沿下降沿高脉冲高脉冲低脉冲低脉冲下降沿触下降沿触发411X01稳定状定状态51X001、清零功能、清零功能如序号所列,当如序号所列,当RD时,不论其它输入引脚为何种状态,输时,不论其它输入引脚为何种状态,输出端出端立即出,立即出,出,故出,故的清零具有最高优先级功能。使用其的清零具有最高优先级功能。使用其它输入引脚功能时,它输入引脚功能时,R必须置。必须置。54/74HC4538 的功能的功能序号序号输入入输出出功能功能RD反反TR+

17、TR-反反QQ反反10XX01复位清零复位清零21上升沿上升沿1高脉冲高脉冲低脉冲低脉冲上升沿触上升沿触发310下降沿下降沿高脉冲高脉冲低脉冲低脉冲下降沿触下降沿触发411X01稳定状定状态51X001、单稳态触发功能、单稳态触发功能如序号、所列,当如序号、所列,当TR时,时,TR+加上升沿触发信号,端加上升沿触发信号,端能输出一个正脉冲信号,为负脉冲;或者当能输出一个正脉冲信号,为负脉冲;或者当TR+时,时,TR加下降沿加下降沿触发信号,触发信号,也能输出一个正脉冲信号,也能输出一个正脉冲信号,为负脉冲,其波形如图。为负脉冲,其波形如图。54/74HC4538 的功能的功能输出脉宽输出脉宽t

18、w即为内部电路处于暂稳定状态即为内部电路处于暂稳定状态时间,近似可用时间,近似可用tw计算。计算。R、C计算机为外接阻容元件值,一般计算机为外接阻容元件值,一般R取取值范围为千欧到兆欧,如果值范围为千欧到兆欧,如果R 兆兆欧,电路对外部噪声信号很敏感欧,电路对外部噪声信号很敏感此外,电路还具有此外,电路还具有重触发重触发功能,即当电功能,即当电路被触发进入暂稳态期间,可再次加输路被触发进入暂稳态期间,可再次加输入触发信号,这时输出脉宽入触发信号,这时输出脉宽tw w为第一次为第一次和第二次触发信号的间隔时间和第二次触发信号的间隔时间t1 1加上以第加上以第二次触发信号为起点的输出脉宽二次触发信

19、号为起点的输出脉宽tw w 如左如左图图tw = tI + tw54/74HC4538单稳态触发器的工作波形单稳态触发器的工作波形重触发器输出脉冲重触发器输出脉冲序号序号输入入输出出功能功能RD反反TR+TR-反反QQ反反10XX01复位清零复位清零21上升沿上升沿1高脉冲高脉冲低脉冲低脉冲上升沿触上升沿触发310下降沿下降沿高脉冲高脉冲低脉冲低脉冲下降沿触下降沿触发411X01稳定状定状态51X0013 3、稳定状态、稳定状态如序号、所列,在如序号、所列,在TR或或TR时,均不能触发翻时,均不能触发翻转,为稳定状态或禁止触发状态,转,为稳定状态或禁止触发状态,维持。维持。 4000 4000

20、系列系列1452814528与与54/74HC453854/74HC4538芯片引脚功能完全相同,但芯片引脚功能完全相同,但54/74HC453854/74HC4538在带负载能力和速度方面优于在带负载能力和速度方面优于1452814528。54/74HC4538 的功能的功能集成单稳态触发器的应用:集成单稳态触发器的应用:集成单稳态触发器除用作信号脉宽转换和调节之节,还可较方便地用于延集成单稳态触发器除用作信号脉宽转换和调节之节,还可较方便地用于延时、方波信号发生器等电路。时、方波信号发生器等电路。两个集成单稳态触发器级联后,两个集成单稳态触发器级联后,可组成信号的延时电路。第一可组成信号的

21、延时电路。第一级单稳态触发器在输入信号级单稳态触发器在输入信号u1的下降沿触发下,产生脉宽为的下降沿触发下,产生脉宽为tw2的信号的信号uo1输出,再利用输出,再利用uo1的下降沿作为第二级单稳态触的下降沿作为第二级单稳态触发器的触发信号,再产生脉宽发器的触发信号,再产生脉宽为为tw2的信号的信号uo输出。这样输出输出。这样输出uo的信号比输入的信号比输入uI的信号延迟的信号延迟了了tw1。 施密特触发器的特性和符号。施密特触发器的特性和符号。 UT+ :正向阈值电压:正向阈值电压 UT-:负向阈值电压负向阈值电压 回差电压回差电压: UH = UT+ - UT- 施密特触发器(a)反相输出的

22、传输特性 (b)反相输出的符号图 (C)同相输出的传输特性.6.3 6.3 施密特触发器施密特触发器一电路组成及工作原理在在uI的的a至至b b段,段,uI I由小由小到大,在未达到到大,在未达到2/32/3VCCCC之前,之前,6 6号、号、2 2号引脚状号引脚状态为0 0、0 0和和0 0、1 1,故,故3 3号号引脚引脚输出出uO1O1为1 1态; 当当uI I达到达到b b点点为UT+T+=2/3=2/3VCCCC时,6 6号、号、2 2号引脚状号引脚状态为1 1、1 1,输出出uO1O1翻翻转为0 0; 在在uI I为b-c-d期期间,6 6号、号、2 2号引脚状号引脚状态为1 1、

23、1 1,0 0、1 1,输出出uO1O1仍仍维持持为0 0; 6.3.1 555定时器构成的施密特触发器定时器构成的施密特触发器一电路组成及工作原理当当 uI 达到达到 d 点点为UT- = 1/3VCC时,6号、号、2号引脚号引脚状状态为0、0,输出又翻出又翻转为1态在在d-e-f期期间,6号,号,2号引脚状号引脚状态为0、0和和0、1,输出出uO1仍仍维持持为1, 直到直到 uI I 达到达到f点点为2/3VCC,uO1又又变为1态。这样将将输入入 uI 的三角波的三角波转为方方波波输出,因此又称出,因此又称为整形。整形。 二、应用举例二、应用举例波形的变换或整形波形的变换或整形 回差电压

24、回差电压UH=UT+-UT-较小时,抗干扰能力较差,输出较小时,抗干扰能力较差,输出uo o也受输入也受输入干扰影响如果将回差电压扩大为干扰影响如果将回差电压扩大为UH=UT+-UT-,则输出,则输出 u0 可不受可不受干扰影响。干扰影响。脉宽脉宽tw可由可由UH控制,可将不规则的波形整形成矩形波。控制,可将不规则的波形整形成矩形波。幅度鉴别幅度鉴别利用施密特触发器,从一串幅度不等的脉冲中,将幅度较大的信号鉴别利用施密特触发器,从一串幅度不等的脉冲中,将幅度较大的信号鉴别出来,称为幅度鉴别,其波形如下图示当输入脉冲幅度大于出来,称为幅度鉴别,其波形如下图示当输入脉冲幅度大于UT+时,有信时,有

25、信号输出,小于号输出,小于UT-时,无信号输出时,无信号输出一、一、CMOSCMOS集成触发器集成触发器4010640106的传输特性的传输特性40106六施密特触发六施密特触发器的逻辑符号图器的逻辑符号图不同电源不同电源 电压电压下的传输特性。下的传输特性。由内部电路参数的离散性,正向阈值电压由内部电路参数的离散性,正向阈值电压UT+、负向阈值电压负向阈值电压UT- 、回差、回差电压电压均有一个变化范围值。因此,某一块集成电路要通过实测来确定均有一个变化范围值。因此,某一块集成电路要通过实测来确定和和。6.3.2 6.3.2 集成施密特触发器集成施密特触发器二、二、4010640106施密特

26、触发器电路的工作原理施密特触发器电路的工作原理4010640106施密特触发器内部电路图施密特触发器内部电路图T TP1P1、T TP2P2和和T TN3N3、T TN4N4四个管子四个管子组成反相器,其栅极相连组成反相器,其栅极相连作为输入端。由于作为输入端。由于T Tp5p5和和T TN N6 6管的存在,使反相器输出管的存在,使反相器输出电平电平u uo1o1翻转所需的输入阈翻转所需的输入阈值电压不是值电压不是1/21/2,这,这是因为是因为Tp2Tp2和和Tp3Tp3管的源极管的源极2 2和和S S3 3的电位将受的电位将受T Tp5p5和和T TN6N6管的影响管的影响。反相器反相器

27、设设P沟道沟道Tp管辖管辖 的开启电压为的开启电压为UGS(th)p( (负值负值) ),N N沟道沟道TN N管的开启电压为管的开启电压为UGS(th)N 当当 uI =0=0时,则时,则UGS1GS1、UGS2GS2(- -VDDDD)UGS(TH)PGS(TH)P, ,故故TP1P1、TP2P2管导通;管导通;UGS3GS3、UGS4GS4(0V0V) UGSGS(thth)N N, ,故故TN3N3、TN4N4管截止,管截止,UO O1VDD 三、集成施密特触发器应用三、集成施密特触发器应用 在检测电机转速时,可采用图在检测电机转速时,可采用图 (a) (a)所示光电转换整形电路。将小

28、孔的转盘安所示光电转换整形电路。将小孔的转盘安装于电机转轴上,孔的位置对准红外发光管和红外光接收管。当电机转动时,转装于电机转轴上,孔的位置对准红外发光管和红外光接收管。当电机转动时,转盘孔经过光电管之间,在接收管盘孔经过光电管之间,在接收管T的集电极产生图的集电极产生图(b)(b)中中P1 1所波形所波形, ,经施密特触发经施密特触发器整形后器整形后, ,转换成脉冲方波转换成脉冲方波P2 2, ,对对P2 2脉冲在一定时间内进行计数脉冲在一定时间内进行计数, , 即可实行测速。即可实行测速。在数字电路中,正常脉冲信号常受到在数字电路中,正常脉冲信号常受到电网上其它负载频繁启动而产生干扰电网上

29、其它负载频繁启动而产生干扰 信号的影响,如左图所示信号的影响,如左图所示u1波形。若波形。若将该信号作用于施密特触发器的输将该信号作用于施密特触发器的输入端,经整形后,即可获得无干扰的入端,经整形后,即可获得无干扰的脉冲信号输出,只要施密特触发器的脉冲信号输出,只要施密特触发器的回差电压回差电压UH H大于干扰信号的幅度即可。大于干扰信号的幅度即可。利用施密特触发器可以很方便利用施密特触发器可以很方便地组成多谐振荡器,电路如右图地组成多谐振荡器,电路如右图(a(a),图(),图(b b)所示为电容上)所示为电容上电压电压UC和输出和输出UO波形。波形。用用4010640106施密特触发器可组成

30、上升沿或下降沿触发的单稳态触发器电路。施密特触发器可组成上升沿或下降沿触发的单稳态触发器电路。上升沿触发的单稳态上升沿触发的单稳态触发器的电路图触发器的电路图各点波形如图各点波形如图(1)上升沿触发电路)上升沿触发电路(2)下降沿触发的电路)下降沿触发的电路下降沿触发的单稳态触发器的电路图下降沿触发的单稳态触发器的电路图各点波形如图各点波形如图6.4 6.4 多谐振荡器多谐振荡器6.3.1 555定时器构成的施密特触发器定时器构成的施密特触发器多谐振荡器:又称无稳态触发器,它毋须外加触发脉冲,就能输出一定频率的矩形脉冲(自激振荡),因此没有稳态;因矩形脉冲波含有丰富的谐波,故称为多谐振荡器。8

31、 +UCC56315K5K5K274+UCC+UCCR2R1CuoucC1C2工作原理、工作波形与周期估算工作原理、工作波形与周期估算 uCOtOuOtUOLUOHtWHtWL充电充电UOHTH = TR = uC 很小很小接通接通 VCC 后后,开始时,开始时 TH = TR = uC 0 0,uO 为高电平,为高电平,放电管截止,放电管截止,VCC 经经 R1、R2 向向 C 充电,充电,uC 上升上升,这时,这时电路电路处处于暂稳态于暂稳态。 uCOtOuOtUOLUOHtWHtWLUOLTH = TR 2/3 VCC当当 uC 上升到上升到 TH = TR = uC 2/3 VCC 时

32、,时,uO 跃变为低电平,跃变为低电平,同时放电管同时放电管 V 导通,导通,C 经经 R2 和和 V 放电,放电,uC 下降下降,电路进入电路进入暂稳态暂稳态 。接通接通 VCC 后后,开始时,开始时 TH = TR = uC 0,uO 为高电平,为高电平,放电管截止,放电管截止,VCC经经 R1、R2 向向 C 充电,充电,uC 上升上升,这时,这时电电路路处于暂稳态处于暂稳态。 放电放电当当 uC 下降到下降到 TH = TR = uC 1/3 VCC 时,时, uO 重新跃变为高电平重新跃变为高电平,同时,同时放电管放电管 V 截止,截止,C 又被又被充电,充电,uC 上升上升,电路又

33、电路又返回到暂稳态返回到暂稳态。uCOtOuOtUOLUOHtWHtWLTH=TR1/3VCC当当 uC 上升到上升到 TH = TR = uC 2/3 VCC时,时,uO 跃变为低电平,跃变为低电平,同时放电管同时放电管 V 导通,导通,C 经经 R2 和和 V 放电,放电,uC 下降下降,电路进入电路进入暂稳态暂稳态 。接通接通 VCC 后后,开始时,开始时 TH = TR = uC 0 0,uO 为高电平,为高电平,放电管截止,放电管截止,VCC 经经 R1、R2 向向 C 充电,充电,uC 上升上升,这时,这时电路电路处处于暂稳态于暂稳态。 电容电容 C 如此循环充电和放电,使如此循环

34、充电和放电,使电路产生振荡,输出矩形脉冲。电路产生振荡,输出矩形脉冲。uCOtOuOtUOLUOHtWHtWL二、振荡频率的计算二、振荡频率的计算tWH 0.7 (R1 + R2)C tWL 0.7 R2CT = tWH + tWL 0.7 (R1 + 2R2)C6.4.1 6.4.1 用用CMOSCMOS反相器组成的多谐振荡器反相器组成的多谐振荡器6.4.2 6.4.2 石英晶体多谐振荡器石英晶体多谐振荡器一、用一、用CMOS反相器组成的多谐振荡器反相器组成的多谐振荡器CMOSCMOS反相器与反相器与反相器与反相器与R R、C C元件元件元件元件组成多谐振荡器电路组成多谐振荡器电路组成多谐振

35、荡器电路组成多谐振荡器电路 由于由于由于由于CMOSCMOS反相器反相器反相器反相器G G1 1 1 1在输入和输出端之间并接电阻在输入和输出端之间并接电阻在输入和输出端之间并接电阻在输入和输出端之间并接电阻R R, , , ,而而而而CMOSCMOS电路输电路输电路输电路输入电流入电流入电流入电流i i0,0,0,0,故故故故R R上电流也近于零因此静态时电阻两端各自的电位上电流也近于零因此静态时电阻两端各自的电位上电流也近于零因此静态时电阻两端各自的电位上电流也近于零因此静态时电阻两端各自的电位u uI1I1I1I1= = = =u uo1o1o1o1。它所表示的直线与它所表示的直线与它所

36、表示的直线与它所表示的直线与CMOSCMOS反相器电压传输特性的交点为反相器电压传输特性的交点为反相器电压传输特性的交点为反相器电压传输特性的交点为Q Q点。点。点。点。 G1 1输出翻转的输入闹值电压为输出翻转的输入闹值电压为UTH=1/2VDD. .即当即当UI1UTH时时, ,UO1=0V;V;当当UI1UTH时时, ,UO1=VDD。6.4.2 6.4.2 其它多谐振荡器电路其它多谐振荡器电路工作过程:工作过程:电路在振荡时电容电路在振荡时电容C C的充、放电回路的充、放电回路工作波形图工作波形图(1)在)在 t0t1 期期间:设 uo1=VDD,则uo2=0V。TP1、TN2导通导通

37、;TN1、TP2截止截止, VDD通通过TP1RCTN2地回路对地回路对C充电充电, uI1按指数按指数规律上升规律上升.当当uI1UTH=1/2VDD时时,在在t1时时刻产生正反馈刻产生正反馈: uI1uo1 uo2 (2)在在t1t2期间:期间:TN1、TP2导通导通,TP1、TN2截止截止,电容电容C放电放电, 路径路径为为uc(+) RTN1地地VDDTP2uc(-),使使uI1按指数规律下降。在按指数规律下降。在t2时刻,时刻,uI1UTH=1/2VDD时时,产生正反馈过程产生正反馈过程: uI1 uo1 uo2二、二、 石英晶体多谐振荡器石英晶体多谐振荡器1 1、CMOS反相器组成

38、的石英晶体多谐荡器反相器组成的石英晶体多谐荡器多谐振荡器多谐振荡器电路图电路图 在在串联谐振频率串联谐振频率fs下,等效电抗为下,等效电抗为Xs s=0=0;并联谐振荡频率;并联谐振荡频率fp下,等效电抗下,等效电抗Xp。在图中。在图中, ,石英晶体接石英晶体接G2输出端、输出端、G1输入端之间输入端之间, , 输出信号频率为输出信号频率为fs时时, 串联揩振频率等效电抗最小串联揩振频率等效电抗最小, 正反馈最大正反馈最大,形成振荡。形成振荡。 振荡频率完全振荡频率完全取决于石英取决于石英晶体固有的串联谐揩振频率晶体固有的串联谐揩振频率f fs s。在电路中反相器。在电路中反相器G1和和G2的

39、输入和输出端均并接的输入和输出端均并接电阻电阻R1和和R2, ,用以确定反相器的静态工作点用以确定反相器的静态工作点Q, , 反相器工作在传输特性转折线上的反相器工作在传输特性转折线上的线性放大区线性放大区, ,具有较高的电压放大倍数具有较高的电压放大倍数, ,如图所示。如图所示。 静态时静态时, ,uI1=uo1=uI2=uo=1/2VDD。石英晶体的电抗石英晶体的电抗石英晶体的电抗石英晶体的电抗 频率特性频率特性频率特性频率特性 当电路接上电源当电路接上电源VDD后,在反相器后,在反相器G2输出输出uo为噪声信号,经石英晶为噪声信号,经石英晶体通路,只从噪声中选出频率为体通路,只从噪声中选

40、出频率为fs s的正弦信号(晶体的等效电抗的正弦信号(晶体的等效电抗s0s0), ,并反馈到并反馈到UI1, ,经经G1线性反相放大线性反相放大, ,再通过耦合电容再通过耦合电容C,再经,再经线性放大经多次反复放大后,使线性放大经多次反复放大后,使uo幅值达到最大而被削顶失真,幅值达到最大而被削顶失真,近似于方波输出,其波形如图所示。这即形成多谐振荡器。电路中近似于方波输出,其波形如图所示。这即形成多谐振荡器。电路中C1f0由晶体谐振频率由晶体谐振频率fS S决定决定, ,最高可达几十兆赫。最高可达几十兆赫。电路的工作电路的工作原理如下原理如下: :2 2、CMOS5544CMOS5544时钟

41、集成电路时钟集成电路 内部振荡器与外接揩振频率为石英晶体构成振荡电路,内部振荡器与外接揩振频率为石英晶体构成振荡电路,C用用于微调振荡频率,经于微调振荡频率,经1616级二分频电路,输出级二分频电路,输出OUT1 1、OUT2 2二路周期为二路周期为2s2s交替负脉冲信号,如图(交替负脉冲信号,如图(b b)所示。为获得秒脉冲信号输出,将)所示。为获得秒脉冲信号输出,将OUT1 1、OUT2 2输出经二极管输出经二极管D3 3、D4 4和和PNPPNP型三极管型三极管T T组成与非门电路输出即为周期组成与非门电路输出即为周期1s1s的脉冲信号,输出高电平近于的脉冲信号,输出高电平近于VDDDD

42、。在。在1 1号引脚和号引脚和2 2号引脚间,利用号引脚间,利用D1 1、D2 2上压降获得近于电源电压。上压降获得近于电源电压。SI I、SO O闹铃闹铃输入控制端输入控制端 和输出端。和输出端。SI I 与与Vss接通时,接通时, 则则So o输出波形输出波形 用于驱到时钟步进电机时,只需将输出端用于驱到时钟步进电机时,只需将输出端OUT1 1和和OUT2 2与时钟步进与时钟步进电机相连电机相连, ,即构成指针式石英钟即构成指针式石英钟, ,其电路如图所示。其电路如图所示。 下下图为二极电极双偏心时钟步进电机的结构。转子为永久图为二极电极双偏心时钟步进电机的结构。转子为永久磁铁,定子为高磁

43、导率坡莫合金软磁材料构成双偏心磁极。由于线圈磁铁,定子为高磁导率坡莫合金软磁材料构成双偏心磁极。由于线圈两端分别连两端分别连OUT1 1和和OUT2 2周期为周期为2s2s交替的负脉冲信号,因此,当负脉交替的负脉冲信号,因此,当负脉冲作用时,励磁线圈上电流冲作用时,励磁线圈上电流(1(1A)A)流过流过, ,每隔每隔1s,1s,电流改变一次方向电流改变一次方向, ,图图 (a)(b) (a)(b)所示所示 。使定子磁极极性发生一次变化。在定子和转子间。使定子磁极极性发生一次变化。在定子和转子间隙较小处磁阻小,磁通大。由于磁性物质同性相斥、异性相吸,使隙较小处磁阻小,磁通大。由于磁性物质同性相斥

44、、异性相吸,使转子每秒钟顺时针旋转转子每秒钟顺时针旋转180180度步距角。度步距角。综合应用综合应用 脉冲波形发生及整形电路在仪器仪表、自动控制、检测等方面的应用很脉冲波形发生及整形电路在仪器仪表、自动控制、检测等方面的应用很广,下面从简易数字控制电路介绍其综合应用。广,下面从简易数字控制电路介绍其综合应用。 简易数字控制电路是利用对被控制对象工艺参数进行计数,当到达给定简易数字控制电路是利用对被控制对象工艺参数进行计数,当到达给定值时,立即发出控制信号,执行如停止送货,切断、报警等动作。值时,立即发出控制信号,执行如停止送货,切断、报警等动作。 图示为简易数字控制电路,由光电检测及整形、计

45、数译码显示、符合电路图示为简易数字控制电路,由光电检测及整形、计数译码显示、符合电路控制及延时清零等四部分电路组成,各部分电路工作原理如下:控制及延时清零等四部分电路组成,各部分电路工作原理如下:一、光电检测及整形光电检测及整形 由红外发光管由红外发光管D1 1接受管接受管T1 1为检测计数信号电路。当为检测计数信号电路。当D1 1、T1 1之间光路被遮之间光路被遮断一次,断一次,P0产生负梯形脉冲,即产生负梯形脉冲,即T1 1被挡光时被挡光时P0为低电平,受光时为低电平,受光时P0为高电为高电平。经平。经4010640106施密特触发器整形后,施密特触发器整形后,P0 0为边沿陡直的正脉冲信

46、号,送入计数器为边沿陡直的正脉冲信号,送入计数器电路计数。电路计数。二、计数计数-译码译码-显示电路显示电路45184518组成组成3 3位的十进制计数器。低一位计数值位的十进制计数器。低一位计数值“9”“0” “9”“0” ,将,将Q4 4输出输出1 1 00的下降沿为向高一位的进位计数脉冲。因此低位的的下降沿为向高一位的进位计数脉冲。因此低位的Q4 4端必须联高位的端必须联高位的EN输入端输入端. .Q4 4 Q1 1的的BCD码,由驱动发光二极管数码管进行显示码,由驱动发光二极管数码管进行显示简易数字控制电路简易数字控制电路三、符合电路三、符合电路 电路由电路由3 3个个BCDBCD码拨

47、码盘码拨码盘B3 3B1 1、二极管、一、二极管、一个与门组成。底部印板引脚为个与门组成。底部印板引脚为”8”8”、“4”“4”、“2”“2”、“1”“1”和和“A”。其面上。其面上“+” “+” 按一下按一下, , 数字数字由由0 90 9递增变化递增变化:”-” :”-” 使数使数9 09 0递减,内递减,内部为印刷电路板、电刷旋转触点部为印刷电路板、电刷旋转触点, ,相当四个相当四个开关开关S4 4S1 1, , 8421 BCD 码码1 1态闭合态闭合, ,与窗口数与窗口数字一致。例如数字为字一致。例如数字为5 5,即为,即为01010101,则,则S3、S1闭闭合,合,S4、S2断开

48、。二极管阴极与计数器断开。二极管阴极与计数器Q4 4Q1 1相相连,只有连,只有Q4 4Q1 1值由小到大计数达值由小到大计数达01010101,相符,相符时,二极管时,二极管D3 3、D1 1截止,截止,A输出高电平。当数输出高电平。当数小于小于“”时,时,A为低电平但计数器值为为低电平但计数器值为“”时,时,A也为高电平电路为位十进制数,也为高电平电路为位十进制数,图左图所示在加法计数时由小到大,计数到图左图所示在加法计数时由小到大,计数到位十进制数全符合时,位十进制数全符合时,A、A、A为全,为全,通过与门通过与门P出。在计数值小于拨盘数码时,出。在计数值小于拨盘数码时,必有某一位必有某

49、一位Ai i出,出,P1 1为。故为。故P1 1出出1 1时,表示计数器数值与拨盘数相一致。时,表示计数器数值与拨盘数相一致。 四、控制及延时清零电路四、控制及延时清零电路当符合电路当符合电路P1出现高电平时出现高电平时,分三路信号控制分三路信号控制,其各点时序波形图如下图所示。其各点时序波形图如下图所示。用用P1=1信号将最低位计数器的信号将最低位计数器的CL1端置端置1,使计数器停止计数使计数器停止计数,P1此时维持高电平此时维持高电平,其脉宽为其脉宽为tw1。利用利用P1的上升沿的上升沿,触发施密特触发器组成单稳态电路触发施密特触发器组成单稳态电路,产生一定宽度的负脉冲产生一定宽度的负脉

50、冲,再再经一级施密特触发器经一级施密特触发器,反相输出为正脉冲反相输出为正脉冲,经光电耦合放大经光电耦合放大,驱动继电器驱动继电器KA动作动作,其动作时间为其动作时间为P2脉宽脉宽tw2。3.延时清零延时清零 由集成双单稳态触发器由集成双单稳态触发器1452814528组成组成, ,当当P1 1为上升沿时为上升沿时, ,触发此单稳态电路触发此单稳态电路, ,使使1 1Q输出输出 脉冲脉冲P3 3, ,脉宽脉宽tw3w3为延时时间为延时时间再用再用p3 3的下跳沿触发另一单稳态电路的下跳沿触发另一单稳态电路, ,使使2 2Q输出输出P4,4,脉宽为脉宽为tw4w4的较窄正脉冲的较窄正脉冲, ,再通过或门再通过或门, ,作用到计数器作用到计数器RP1 1恢复为恢复为0,0,重新开始第重新开始第2 2次计数次计数. . 电路中电路中R6 6、C4 4用作开机清零。当合上电源,用作开机清零。当合上电源,C4 4上电上电压不能突变,使压不能突变,使R6 6上的或门输入端产生高电平,通过或门上的或门输入端产生高电平,通过或门输出为输出为1 1到计数器到计数器R端进行清零。按钮端进行清零。按钮SB用作对计数器手用作对计数器手动清零。动清零。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 研究生课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号