《第6讲信号发生器》由会员分享,可在线阅读,更多相关《第6讲信号发生器(19页珍藏版)》请在金锄头文库上搜索。
1、2024/9/72024/9/71LPMLPM的使用的使用1LPM(LibraryParameterizedModules)LPM(LibraryParameterizedModules)即参数即参数化的宏功能模化的宏功能模块库。1LPMLPM函数与任何文本或函数与任何文本或图形形设计输入工具都是兼入工具都是兼容的,可以在容的,可以在GDFGDF设计文件和文件和HDLHDL设计文件中任意文件中任意调用用LPMLPM库元件。元件。1通通过修改修改LPMLPM模模块的参数就可以得到用的参数就可以得到用户想要的想要的设计。 2024/9/72024/9/72LPMLPM库单元元 算术运算模块算术运算
2、模块lpm_abslpm_abs参数化绝对值运算参数化绝对值运算lpm_add_sublpm_add_sub参数化的加参数化的加/ /减法器减法器lpm_comparelpm_compare参数化比较器参数化比较器lpm_counterlpm_counter参数化计数器参数化计数器lpm_multlpm_mult参数化乘法器参数化乘法器2024/9/72024/9/73LPMLPM库单元元门单元模块门单元模块lpm_andlpm_and参数化与门参数化与门lpm_bustrilpm_bustri参数化三态缓冲器参数化三态缓冲器lpm_clshiftlpm_clshift参数化组合逻辑移位器参数
3、化组合逻辑移位器lpm_constantlpm_constant参数化常数产生器参数化常数产生器lpm_decodelpm_decode参数化译码器参数化译码器lpm_invlpm_inv参数化反向器参数化反向器lpm_muxlpm_mux参数化多路选择器参数化多路选择器busmuxbusmux参数化总线选择器参数化总线选择器muxmux多路选择器多路选择器lpm_orlpm_or参数化或门参数化或门lpm_xorlpm_xor参数化异或门参数化异或门2024/9/72024/9/74LPMLPM库单元元存储器模块存储器模块lpm_fflpm_ff参数化参数化D D触发器触发器lpm_latc
4、hlpm_latch参数化锁存器参数化锁存器lpm_ram_dqlpm_ram_dq输入输出分开的参数化输入输出分开的参数化RAMRAMlpm_ram_iolpm_ram_io输入输出复用的参数化输入输出复用的参数化RAMRAMlpm_romlpm_rom参数化参数化ROMROMlpm_shitreglpm_shitreg参数化移位寄存器参数化移位寄存器csfifocsfifo参数化先进先出队列参数化先进先出队列csdpramcsdpram参数化双口参数化双口RAMRAM2024/9/72024/9/75LPMLPM库的使用的使用Librarylpm;Librarylpm;2024/9/720
5、24/9/76LPMLPM库举例例LIBRARYLIBRARYieee;USEUSEieee.std_logic_1164.all;USEUSEieee.std_logic_arith.all;USEUSEieee.std_logic_signed.all;LIBRARYLIBRARYlpm;USEUSElpm.lpm_components.all;ENTITYENTITYtst_muxISISPORTPORT(a:instd_logic_2d(3downto0,15downto0);sel:instd_logic_vector(1downto0);y:outstd_logic_vector(
6、15downto0);ENDENDtst_mux;ARCHITECTUREARCHITECTUREbehaviorOFOFtst_muxISISBEGINBEGINu1:lpm_muxGENERICMAPGENERICMAP(lpm_width=16,lpm_size=4,lpm_widths=2)PORTMAPPORTMAP(data=a,sel=sel,result=y);ENDENDbehavior;2024/9/72024/9/77LPMLPM库的使用的使用1打开打开图形形编辑器,双器,双击图形形编辑器器编辑区区中需要插入中需要插入图元的地方,打开元的地方,打开EnterEnterSy
7、mbolSymbol对话框框 ,选择相相应的的LPMLPM库。图形形编辑器的插入点将器的插入点将显示示lpm_counterlpm_counter库单元元的的图形符号。形符号。2024/9/72024/9/78LPMLPM库的使用的使用2024/9/72024/9/79设定端口参数定端口参数2024/9/72024/9/710仿真仿真结果果2024/9/72024/9/711ADC0809ADC0809控制控制电路路2024/9/72024/9/712ADC0809ADC0809控制控制电路路1ADC0809ADC0809的硬件的硬件连线ADC0809的引脚的引脚 信号信号输入输入ad_a 全
8、部接全部接“0”,选择通道,选择通道0(IN0)ad_bad_cIN0接模拟信号接模拟信号clk转换频率,接实验板晶振转换频率,接实验板晶振8脚脚(16KHz)ST/ALE接由接由FPGA产生的启动控制信号产生的启动控制信号输出输出EOC悬空悬空D7D0接入接入单片机的单片机的P1口口2024/9/72024/9/713ADC0809ADC0809控制控制电路路1ADC0809ADC0809的控制的控制时序序ALE/STEOCOE锁存通道号锁存通道号启动转换启动转换转换结束转换结束转换时间转换时间2024/9/72024/9/714ADC0809ADC0809控制控制电路路1用用CPLD/FP
9、GACPLD/FPGA实现控制控制时序序2基本方法:循基本方法:循环计数数2判断不同的判断不同的计数数值,输出量有不同的出量有不同的电平平2024/9/72024/9/715常常见的波形的波形1锯齿波波( (数字量以步数字量以步长累加累加) )1三角波三角波( (数字量先以步数字量先以步长累加,然后数字累加,然后数字量以步量以步长递减减) )1正弦波正弦波( (利用利用LPMLPM库的的ROMROM资源源) )1正正负脉脉宽数控数控调制信号制信号发生器生器2024/9/72024/9/716DDS(DDS(直接数字直接数字频率合成器率合成器) )1DDSDDS系系统的核心是相位累加器,它由一个
10、的核心是相位累加器,它由一个累加器和一个累加器和一个N N位相位寄存器位相位寄存器组成。每来一成。每来一个脉冲个脉冲时,相位寄存器以步,相位寄存器以步长M M增加。增加。 1相位寄存器的相位寄存器的输出与相位控制字相加,其出与相位控制字相加,其结果作果作为正弦正弦查找表的地址。找表的地址。 1正弦正弦查找表由找表由ROMROM构成,内部存有一个完构成,内部存有一个完整周期正弦波的数字幅度信息,每个整周期正弦波的数字幅度信息,每个查找找表的地址表的地址对应正弦中正弦中03600360度范度范围内的一个内的一个相位点。相位点。 2024/9/72024/9/717DDS(DDS(直接数字直接数字频
11、率合成器率合成器) )1查找表把找表把输入的地址信息映射成正弦波的入的地址信息映射成正弦波的数字幅度信号,同数字幅度信号,同时输出到模出到模拟转换器器DACDAC的的输入端,入端,DACDAC输出的模出的模拟信号信号经过低通低通滤波器,可以得到一个波器,可以得到一个频谱纯净的正弦波。的正弦波。 2024/9/72024/9/718DDS(DDS(直接数字直接数字频率合成器率合成器) )1相位寄存器每相位寄存器每经过2N/M个个fc时钟周期后回到初周期后回到初始状始状态,相,相应的正弦波周期的正弦波周期为Tout=(2N/M)Tc,频率率为fout=(M/2N)fc。DDS的最小分辨率的最小分辨率为fc/2N,当,当M=2N-1时,DDS最高的基波合成最高的基波合成频率率为fout=fc/2。 2024/9/72024/9/719DDS(DDS(直接数字直接数字频率合成器率合成器) )1原理原理图相位寄相位寄存器存器累加器累加器频率控率控制字制字加法器加法器相位控相位控制字制字正弦正弦查找表找表DAC