工程电磁场课后答案2

上传人:cl****1 文档编号:586670341 上传时间:2024-09-05 格式:PPT 页数:24 大小:2.61MB
返回 下载 相关 举报
工程电磁场课后答案2_第1页
第1页 / 共24页
工程电磁场课后答案2_第2页
第2页 / 共24页
工程电磁场课后答案2_第3页
第3页 / 共24页
工程电磁场课后答案2_第4页
第4页 / 共24页
工程电磁场课后答案2_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《工程电磁场课后答案2》由会员分享,可在线阅读,更多相关《工程电磁场课后答案2(24页珍藏版)》请在金锄头文库上搜索。

1、第五章第五章 触发器触发器5.1.1 R、S输入高电平有效输入高电平有效5.1.3 画同步画同步RS触发器输出波形(触发器输出波形(CP=1)图图5.1.65.1.6 分析由与或非门组成的同步分析由与或非门组成的同步RS触发器功能触发器功能5.1.10 分析各种结构触发的翻转特点分析各种结构触发的翻转特点基本基本RS触发器触发器:没有:没有CP信号,信号,Q状态由状态由R/S直接决定;直接决定;同步触发器同步触发器:有:有CP信号控制,高信号控制,高(低低)电平期间电平期间Q状态保持,状态保持, (高高)低电平期间低电平期间Q状态由输入端决定;状态由输入端决定;主从触发器主从触发器:动作分两步

2、:动作分两步, CP=1(0)时主触发器接收信号,从时主触发器接收信号,从 触发器不变;触发器不变;CP下降沿下降沿(上升沿上升沿)到来,主触发到来,主触发 器保持,从触发器翻转,器保持,从触发器翻转,CP=0(1)后,主从触后,主从触 发器都不再翻转而保持。发器都不再翻转而保持。边沿触发器边沿触发器:触发沿前接收输入信号,触发沿时候翻转,触:触发沿前接收输入信号,触发沿时候翻转,触 发沿后封锁信号。发沿后封锁信号。5.2.1 画输出波形画输出波形Q1Q25.2.4 画输出波形画输出波形Q1Q2Q3Q45.2.8 画输出波形画输出波形5.2.11 触发器转换触发器转换6.1.1 由状态表作状态

3、图由状态表作状态图6.1.3 由状态图作状态表由状态图作状态表6.1.5电路的初始状态为电路的初始状态为01,当序列,当序列X=100110时,求该时,求该 电路输出电路输出Z的序列。的序列。解:解:0110106.2.5 同步时序电路分析同步时序电路分析6.2.9 异步时序电路分析异步时序电路分析可自启动的异步七进制计数器可自启动的异步七进制计数器6.3.3 用同步结构实现状态度,要求电路最简,采用正边沿用同步结构实现状态度,要求电路最简,采用正边沿JK触发器。触发器。解:(1) 画出状态表(2) 列出真值表(3) 写出逻辑表达式(4)画出电路图)画出电路图6.3.7 用正边沿用正边沿D触发

4、器实现触发器实现1101序列检测器序列检测器7.1.3 试用负边沿D触发器组成4位二进制异步加计数器,画出逻辑图。Q1Q000011011000110117.1.4 试用正边沿D触发器组成3位二进制同步加计数器,画出逻辑图。规律:规律:加:加:减:减:7.1.7 试用正边沿D触发器和门电路设计一个同步三进制减计数器。7.1.9 分析计数器改制电路7.1.11 分析计数器改制电路异步清零反馈法异步清零反馈法译码反馈状态译码反馈状态(过渡状态过渡状态):1010有效状态:有效状态:00001001 结论:十进制结论:十进制同步预置反馈法同步预置反馈法译码反馈状态:译码反馈状态:1010预置起始状态

5、:预置起始状态:0000有效状态:有效状态:00001010 结论:十一进制结论:十一进制7.1.14 74290用两种不同方法实现六进制(2)异步置九法异步置九法(1)异步清零法异步清零法0 5 6 (0110)90 12345( 0101)7.1.16 分析计数器电路改制方法:整体清零反馈法改制方法:整体清零反馈法(异步异步)片间级联方式:并行进位片间级联方式:并行进位译码反馈状态:译码反馈状态:1010 1110有效计数起始状态:有效计数起始状态:0000 0000 1010 1101(173) M=174(1) 异步清零法异步清零法: 反馈状态反馈状态 (24)D=(18)H=(0001 1000)B1111(1) 同步预置法同步预置法: 反馈状态反馈状态 (23)D=(17)H=(0001 0111)B7.1.18 用74161采用两种不同方法构成24进制。7.2.2 试用两片74194构成8位双向移位寄存器。并并联联

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 医学/心理学 > 基础医学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号