嵌入式系统5嵌入式系统硬件平台1幻灯片

上传人:ni****g 文档编号:586593740 上传时间:2024-09-05 格式:PPT 页数:102 大小:774.50KB
返回 下载 相关 举报
嵌入式系统5嵌入式系统硬件平台1幻灯片_第1页
第1页 / 共102页
嵌入式系统5嵌入式系统硬件平台1幻灯片_第2页
第2页 / 共102页
嵌入式系统5嵌入式系统硬件平台1幻灯片_第3页
第3页 / 共102页
嵌入式系统5嵌入式系统硬件平台1幻灯片_第4页
第4页 / 共102页
嵌入式系统5嵌入式系统硬件平台1幻灯片_第5页
第5页 / 共102页
点击查看更多>>
资源描述

《嵌入式系统5嵌入式系统硬件平台1幻灯片》由会员分享,可在线阅读,更多相关《嵌入式系统5嵌入式系统硬件平台1幻灯片(102页珍藏版)》请在金锄头文库上搜索。

1、嵌入式系统硬件平台Outline1、总线、总线2、通讯、通讯3、平台搭建、平台搭建4、SOC5、节能计算、节能计算2 21、嵌入式系统中的总线、嵌入式系统中的总线特点特点定制性定制性定制性定制性非扩展性非扩展性非扩展性非扩展性结构简单结构简单结构简单结构简单3 31)、CPU总线总线传统计算机:传统计算机:CPU内存总线,内存总线,I/O总线总线嵌入式系统:嵌入式系统:CPU,存储器加上设备,存储器加上设备4 4总线总线是连接是连接I/O设备、设备、CPU、存储器各子系统间的共享通、存储器各子系统间的共享通信线路信线路最大优点:最大优点:价格低、通用性、灵活性好价格低、通用性、灵活性好最大缺点

2、:最大缺点:通信瓶颈(带宽)通信瓶颈(带宽)商用系统:商用系统:I/O频繁频繁科学系统:科学系统:I/O速度要与处理机速度相匹配速度要与处理机速度相匹配5 5总线类型总线类型传输方向传输方向 单向单向双向(半双工、全双工)双向(半双工、全双工)用法用法 专用专用 :流量高、无争用现象、控制简单;:流量高、无争用现象、控制简单;总线数目多,时间利用率低,难小型化。总线数目多,时间利用率低,难小型化。 非专用:共享性。非专用:共享性。 造价低、模块性强,易扩充造价低、模块性强,易扩充会出现争用现象、对失效敏感。会出现争用现象、对失效敏感。6 6非专用总线的多种连接方式:非专用总线的多种连接方式:单

3、总线单总线-可靠性差可靠性差简化和统一的接口,简化和统一的接口,多重总线多重总线总线流量与总线长度有矛盾总线流量与总线长度有矛盾多组非专用总线多组非专用总线CPU-Memory总线:总线:短、快短、快I/O总线:总线:长,各设备有不同的数据宽度、延长,各设备有不同的数据宽度、延时、和带宽。使用总线标准。时、和带宽。使用总线标准。远距离通讯总线(集线器)远距离通讯总线(集线器)纵横开关矩阵(每行每列最多只有一个开关接通)纵横开关矩阵(每行每列最多只有一个开关接通)7 7通讯方式通讯方式同步通讯:两部件由定宽、定距的时标同步。同步通讯:两部件由定宽、定距的时标同步。传输速率高,受总线长度影响小。但

4、有传输速率高,受总线长度影响小。但有同步误差。同步误差。提高可靠性的方法:目的部件作回答。提高可靠性的方法:目的部件作回答。异步通讯:单向控制异步通讯:单向控制-(源(源/目的)目的)双向控制双向控制-互锁互锁/非互锁非互锁8 8总线标准总线标准ESIA总线:总线:IBM-PC的的ISA发展而来,发展而来,32,33MSCSI总线总线:来源于数组多路通道来源于数组多路通道PCI总线:总线:IPI总线:总线:9 9异步总线协议异步总线协议四周期基本协议四周期基本协议1、设备、设备1上升上升Req2、当设备、当设备2就绪,上升就绪,上升ACK3、数据传输完毕时,设备、数据传输完毕时,设备2下降下降

5、Req4、设备、设备1下降下降ACK1010总线总线地址线地址线数据线数据线控制线控制线ReqReqACKACKDataRdyDataRdyRead/WriteRead/WriteEtc.Etc.1111提高提高CPU总线利用率总线利用率突发传输突发传输一次一次一次一次AddrAddr多个数据多个数据多个数据多个数据非连接传输非连接传输请求与响应分开请求与响应分开请求与响应分开请求与响应分开1212DMADMADMA控制器在控制器在CPUCPU启动启动I/OI/O操作后,直接在设备与操作后,直接在设备与存储器间传递数据。存储器间传递数据。传送完毕再向传送完毕再向CPUCPU发中断请求。通过周期

6、挪用方发中断请求。通过周期挪用方式占用总线。式占用总线。1313DMA控制器控制器寄存器寄存器起始地址寄存器起始地址寄存器起始地址寄存器起始地址寄存器长度寄存器长度寄存器长度寄存器长度寄存器状态寄存器状态寄存器状态寄存器状态寄存器控制电路控制电路控制器基本工作原理控制器基本工作原理1414多总线方式多总线方式CPU,高速设备:高速总线,高速设备:高速总线低速设备:低速总线低速设备:低速总线总线之间:总线桥总线之间:总线桥桥:桥:传输指令传输指令传输指令传输指令协议翻译协议翻译协议翻译协议翻译15152)ARM的的AMBA总线总线AMBAAMBA总线宽度总线宽度 有有3232位、位、6464位和

7、位和128128位多种定义位多种定义AMBAAMBA的的AHBAHB连接高性能和高时钟频率的系统模连接高性能和高时钟频率的系统模块,同时具有多主机、脉冲传输和分段处理的功块,同时具有多主机、脉冲传输和分段处理的功能能1616高级高性能总线高级高性能总线AHBAHBAHB的作用的作用 允许在主从器件之间传输数据允许在主从器件之间传输数据AHBAHB主器件主器件 可以向从器件传输数据可以向从器件传输数据 向其提供外部地址空间中的地址以接收从器件的数据向其提供外部地址空间中的地址以接收从器件的数据AHBAHB从器件从器件 在选中后,提供或接收主器件的数据。在选中后,提供或接收主器件的数据。 从器件可

8、以延迟数据传输过程或返回错误代码。从器件可以延迟数据传输过程或返回错误代码。AHBAHB主器件的实例为主器件的实例为ARMARM处理器,或其它有处理器,或其它有DMA(DMA(直接存储访问直接存储访问) )功能的外设,如以太网功能的外设,如以太网MACMAC或或USBUSB主机主机/ /器件控制器。器件控制器。 1717信号信号主要的主要的主要的主要的AHBAHB信号信号信号信号 HCLKHCLK总线参考时钟总线参考时钟HADDRHADDR地址地址( (由由AHBAHB主器件发出主器件发出) )HWRITEHWRITE传输方向:读传输方向:读/ /写写( (由由AHBAHB主器件发出主器件发出

9、) )HREADYHREADY传输完成响应传输完成响应( (由由AHBAHB从器件发出从器件发出) )HWDATAHWDATA写入数据总线写入数据总线( (从从AHBAHB主器件至主器件至AHBAHB从器件从器件) )HRDATAHRDATA读取数据总线读取数据总线( (从从AHBAHB从器件至从器件至AHBAHB主器件主器件) )(AHB)(AHB)控制信号和状态信号控制信号和状态信号控制信号和状态信号控制信号和状态信号 HRESPHRESP传输状态传输状态( (由由AHBAHB从器件发出从器件发出) )HBURSTHBURST脉冲模式脉冲模式( (由由AHBAHB主器件发出主器件发出) )

10、HTRANSHTRANS传输状态传输状态( (由由AHBAHB主器件发出主器件发出) )HSIZEHSIZE脉冲长度脉冲长度( (由由AHBAHB主器件发出主器件发出) )HPROTHPROT保护类型保护类型( (由由AHBAHB主器件发出主器件发出) )1818 AHBAHB外设可能立即发送外设可能立即发送/ /接收数据,或通过发出接收数据,或通过发出HREADYHREADY信号插入等待状态。信号插入等待状态。 从器件也通过从器件也通过HRESPHRESP信号返回传输状态,状态如下信号返回传输状态,状态如下 OKAY(OKAY(成功成功) ): 传输成功成功完成信号传输的缺省响应。传输成功成

11、功完成信号传输的缺省响应。 ERROR(ERROR(错误错误) ):不成功的传输表示出现了异常中断,例如访问:不成功的传输表示出现了异常中断,例如访问一个不存在的内存地址。一个不存在的内存地址。 RETRY(RETRY(重试重试) ):从器件无法立即实现操作,主器件应在稍后重试。:从器件无法立即实现操作,主器件应在稍后重试。 SPLIT(SPLIT(等待等待) ):从器件将要求列队等待从器件将在可以提供或:从器件将要求列队等待从器件将在可以提供或接收数据时发出通知,可用于中断多个传输接收数据时发出通知,可用于中断多个传输( (脉冲脉冲) )。 重试和等待的主要区别重试和等待的主要区别 重试表明

12、从器件仍未就绪;主器件可在任意时刻重发命令重试表明从器件仍未就绪;主器件可在任意时刻重发命令 等待包含的协议较为复杂,它就绪后将通过这一协议通知判优器。等待包含的协议较为复杂,它就绪后将通过这一协议通知判优器。等待处理对从器件逻辑的要求较为复杂,并非必备的从器件功能。等待处理对从器件逻辑的要求较为复杂,并非必备的从器件功能。1919AHB主器件判优信号主器件判优信号HREQHREQ总线要求总线要求( (由由AHBAHB主器件发出主器件发出) )HGNTHGNT总线指派总线指派( (由由AHBAHB判优器发出判优器发出) )HLOCKHLOCK总线锁定总线锁定( (由由AHBAHB主器件发出主器

13、件发出) )HMASTERHMASTER指定目前工作的主器件指定目前工作的主器件( (由由AHBAHB判优判优器发出器发出) )HMASTLOCKHMASTLOCK主器件执行锁定传输主器件执行锁定传输( (由由AHBAHB判优判优器发出器发出) )2020AHB-Lite,定义了一种没有多主总线,定义了一种没有多主总线功能的纯功能的纯AHB接口子集接口子集2121AHB和和AHB-Lite之间的关键差别之间的关键差别 不论是主模块还是从模块,后者都可以通过各种互连策不论是主模块还是从模块,后者都可以通过各种互连策不论是主模块还是从模块,后者都可以通过各种互连策不论是主模块还是从模块,后者都可以

14、通过各种互连策略链接,在芯片设计中获得最大带宽略链接,在芯片设计中获得最大带宽略链接,在芯片设计中获得最大带宽略链接,在芯片设计中获得最大带宽2222232324242525高级外设总线高级外设总线(APB)用于连接速度较慢的系统模式和带宽较低的外设,将功耗降至最低,并减小接口的复杂性所有的总线信号都与时钟有关,可以使用时钟频率较低的总线(低于AHB)AHB主器件不直接与APB外设相连,而是通过APB桥进行通信26262727主要的主要的APB信号信号PCLK外部时钟,可以是HCLK的乘积,由APB桥控制PADDR外设地址PWRITE外设传输方向(读/写)PSELx外设选中,与HSEL相似PW

15、DATA写入数据总线(写入外设)PRDATA读取数据总线(从外设中读取)PENABLE外设数据起动2828AMBA例子例子ExcaliburExcalibur是是AlteraAltera公司的系列嵌入式处理器可编公司的系列嵌入式处理器可编程逻辑解决方案程逻辑解决方案单个单个ExcaliburExcalibur器件上包含了单端口器件上包含了单端口RAMRAM和双端和双端口口RAMRAM、3030万门的标准单元区域、嵌入式处理万门的标准单元区域、嵌入式处理器器IPIP内核和一百万门的内核和一百万门的APEX20KEPLDAPEX20KEPLD,片上,片上的晶体管总数达到了的晶体管总数达到了8 8千

16、多万千多万IPIP包括基于包括基于ARMARM的嵌入式处理器、基于的嵌入式处理器、基于MIPSMIPS的的嵌入式处理器或其它任何商用嵌入式处理器嵌入式处理器或其它任何商用嵌入式处理器2929总线可以分成三层。最上二层完全包含在带内,而其它两条总线用于在系统的可编程部分集成用户IP。在嵌入式ARM器件内的所有总线的宽度都为32位如图303031314)SHARC总线总线两个接口外部存储接口外部存储接口主机接口主机接口DMA内存,外存,设备之间传输数据内存,外存,设备之间传输数据3232外存接口外存接口外部数据总线宽度16bit48bitDM总线与IO处理器可以访问整个外部地址空间PM总线24bi

17、t外存分为4个区接口信号(略)3333主机接口主机接口将SHARC连接到标准微处理器总线上主机将DSP功能移交给SHARC接口信号(略)3434SHARCDMA10个通道外部端口DMA通道,链接端口DMA通道:双向串口DMA:单向每个DMA通道一个中断3535总结总结嵌入式系统中总线嵌入式系统中总线针对设备针对设备针对设备针对设备无通用性无通用性无通用性无通用性功耗低功耗低功耗低功耗低36362、通讯、通讯现代嵌入式系统基本都有通讯现代嵌入式系统基本都有通讯有线有线无线无线37371)嵌入式TCP/IP协议栈应用前提连接在互联网上的电子装置不一定比单独连接在互联网上的电子装置不一定比单独使用的

18、电子装置具有更高的智能功能,但使用的电子装置具有更高的智能功能,但连通意味着提高智能化管理水平连通意味着提高智能化管理水平TCP/IP是一项应用广泛的标准,利用它可是一项应用广泛的标准,利用它可以接通并控制电子装置,通过绝大多数传以接通并控制电子装置,通过绝大多数传输媒体几乎可以和运行在所有操作系统上输媒体几乎可以和运行在所有操作系统上的软件进行通信的软件进行通信通过通过TCP/IP与基础设施连接十分简单与基础设施连接十分简单3838嵌入式TCP/IP协议栈适用的范围适用的范围在商业系统中,可以利用它来进行远程监在商业系统中,可以利用它来进行远程监视服务视服务嵌入式嵌入式TCP/IP的最大优越

19、性也许在于它可的最大优越性也许在于它可以使得嵌入式电子装置支持嵌入式的万维以使得嵌入式电子装置支持嵌入式的万维网服务器网服务器嵌入式嵌入式TCP/IP的主要用途是进行远程监测的主要用途是进行远程监测万维网服务器还可以对一个电子装置进行万维网服务器还可以对一个电子装置进行远程配置远程配置3939存在的局限存在的局限首先嵌入式栈是建在专用的软首先嵌入式栈是建在专用的软socket(插(插座)座)API之上的之上的提供可靠传输,占用了大量的资源提供可靠传输,占用了大量的资源体积太大,许多场合不适用体积太大,许多场合不适用4040实施的方法采用软件的方式采用软件的方式lwIP硬件方法硬件方法采用采用采

20、用采用iReadyiReady的芯片或芯核,虽然使用的是的芯片或芯核,虽然使用的是的芯片或芯核,虽然使用的是的芯片或芯核,虽然使用的是4 4位位位位微处理器也能够和互联网实现直接连接微处理器也能够和互联网实现直接连接微处理器也能够和互联网实现直接连接微处理器也能够和互联网实现直接连接 DSP方法方法也可以选用也可以选用也可以选用也可以选用DSPDSP为基础的为基础的为基础的为基础的TCPTCPIPIP协议栈协议栈协议栈协议栈 4141采用方法选择采用方法选择根据所设计的电子装置的实际情况来决定根据所设计的电子装置的实际情况来决定使用硬件功能如何?使用硬件功能如何?打算如何来实现和互联网的连接?

21、打算如何来实现和互联网的连接?需要发送和接收那些种类的信息?需要发送和接收那些种类的信息?将软件或硬件芯片整合到所设计的电子装将软件或硬件芯片整合到所设计的电子装置中去,有没有困难?置中去,有没有困难?增加一个协议栈,是否需要对所设计的电增加一个协议栈,是否需要对所设计的电子装置进行重大更改等等子装置进行重大更改等等42422)无线通讯介绍介绍现在无线电技术已经非常成熟,已经在各现在无线电技术已经非常成熟,已经在各种场合得到非常广泛的应用,而嵌入式系种场合得到非常广泛的应用,而嵌入式系统中无线通讯更是随处可见。统中无线通讯更是随处可见。首先我们将概要介绍无线传输。所有的无首先我们将概要介绍无线

22、传输。所有的无线通讯都是基于无线传输的。线通讯都是基于无线传输的。然后是无线局域网(然后是无线局域网(WirelessLocalAreaNetworks)和移动通讯。)和移动通讯。因为移动手持设备市场前景广阔,我们将因为移动手持设备市场前景广阔,我们将重点介绍移动通讯的现状及发展趋势。重点介绍移动通讯的现状及发展趋势。4343无线传输无线传输的媒介是电磁波,所有的信号都无线传输的媒介是电磁波,所有的信号都以电磁波的方式被发送和接收以电磁波的方式被发送和接收用来进行无线传输的电磁波波谱一般从无用来进行无线传输的电磁波波谱一般从无线电波线电波(radio)开始到可见光部分开始到可见光部分无线电波因

23、为频率不是很高,较容易产生,无线电波因为频率不是很高,较容易产生,可以远距离传输,并能够较容易地穿透和可以远距离传输,并能够较容易地穿透和绕过障碍物线电波的传播是如水波似的扩绕过障碍物线电波的传播是如水波似的扩散型的散型的无线电波的特性跟它的频率有关无线电波的特性跟它的频率有关4444无线局域网无线局域网可以有两种组织方式:无线局域网可以有两种组织方式:一种是以一个基站(一种是以一个基站(一种是以一个基站(一种是以一个基站(basestationbasestation)为中心,)为中心,)为中心,)为中心,所有的移动设备都连接到这个基站上去,因此所有的移动设备都连接到这个基站上去,因此所有的移

24、动设备都连接到这个基站上去,因此所有的移动设备都连接到这个基站上去,因此基站也称为接入点(基站也称为接入点(基站也称为接入点(基站也称为接入点(accesspointaccesspoint););););另一种是没有基站,移动设备之间相互连接组另一种是没有基站,移动设备之间相互连接组另一种是没有基站,移动设备之间相互连接组另一种是没有基站,移动设备之间相互连接组成局域网成局域网成局域网成局域网 4545无线局域网的标准无线局域网的标准 无线局域网的标准无线局域网的标准无线局域网的标准无线局域网的标准802.11802.11由由由由IEEEIEEE制定制定制定制定 第一个高速无限局域网是第一个高

25、速无限局域网是第一个高速无限局域网是第一个高速无限局域网是802.11a802.11a。19991999年,年,年,年,IEEE802.11aIEEE802.11a标准制定标准制定标准制定标准制定完成,该标准规定无线局域网工作频段在完成,该标准规定无线局域网工作频段在完成,该标准规定无线局域网工作频段在完成,该标准规定无线局域网工作频段在5.155.155.825GHz5.825GHz,数据传输,数据传输,数据传输,数据传输速率达到速率达到速率达到速率达到54Mbps/72Mbps(Turbo)54Mbps/72Mbps(Turbo), 传输距离控制在传输距离控制在传输距离控制在传输距离控制在

26、1010100100米米米米 19991999年年年年9 9月月月月IEEE802.11bIEEE802.11b被正式批准该标准规定无线局域网工作频段被正式批准该标准规定无线局域网工作频段被正式批准该标准规定无线局域网工作频段被正式批准该标准规定无线局域网工作频段在在在在2.42.42.4835GHz2.4835GHz,数据传输速率达到,数据传输速率达到,数据传输速率达到,数据传输速率达到11Mbps11Mbps。 20032003年年年年6 6月,月,月,月,IEEEIEEE推出最新版本推出最新版本推出最新版本推出最新版本IEEE802.11gIEEE802.11g认证标准该标准提出拥认证标

27、准该标准提出拥认证标准该标准提出拥认证标准该标准提出拥有有有有IEEE802.11aIEEE802.11a的传输速率,安全性较的传输速率,安全性较的传输速率,安全性较的传输速率,安全性较IEEE802.11bIEEE802.11b好好好好 IEEE802.11eIEEE802.11e标准对无线局域网标准对无线局域网标准对无线局域网标准对无线局域网MACMAC层协议提出改进,以支持多媒体层协议提出改进,以支持多媒体层协议提出改进,以支持多媒体层协议提出改进,以支持多媒体传输,以支持所有无线局域网无线广播接口的服务质量保证传输,以支持所有无线局域网无线广播接口的服务质量保证传输,以支持所有无线局域

28、网无线广播接口的服务质量保证传输,以支持所有无线局域网无线广播接口的服务质量保证QoSQoS机制。机制。机制。机制。 IEEE802.11fIEEE802.11f,定义访问节点之间的通信,支持,定义访问节点之间的通信,支持,定义访问节点之间的通信,支持,定义访问节点之间的通信,支持IEEE802.11IEEE802.11的接入点的接入点的接入点的接入点互操作协议(互操作协议(互操作协议(互操作协议(IAPPIAPP)。)。)。)。IEEE802.11hIEEE802.11h用于用于用于用于802.11a802.11a的频谱管理技术。的频谱管理技术。的频谱管理技术。的频谱管理技术。 IEEE80

29、2.11iIEEE802.11i标准是结合标准是结合标准是结合标准是结合IEEE802.1xIEEE802.1x中的用户端口身份验证和设备验中的用户端口身份验证和设备验中的用户端口身份验证和设备验中的用户端口身份验证和设备验证,对无线局域网证,对无线局域网证,对无线局域网证,对无线局域网MACMAC层进行修改与整合,定义了严格的加密格式层进行修改与整合,定义了严格的加密格式层进行修改与整合,定义了严格的加密格式层进行修改与整合,定义了严格的加密格式和鉴权机制,以改善无线局域网的安全性。和鉴权机制,以改善无线局域网的安全性。和鉴权机制,以改善无线局域网的安全性。和鉴权机制,以改善无线局域网的安全

30、性。 个人无线局域网(个人无线局域网(个人无线局域网(个人无线局域网(wirelesspersonalareanetworkswirelesspersonalareanetworks)标准)标准)标准)标准802.15802.15,这就是蓝牙的技术标准这就是蓝牙的技术标准这就是蓝牙的技术标准这就是蓝牙的技术标准 4646无线移动通讯概述无线移动通讯概述 无线通讯分为两类,一类是无线局域网,另一类是无线无线通讯分为两类,一类是无线局域网,另一类是无线无线通讯分为两类,一类是无线局域网,另一类是无线无线通讯分为两类,一类是无线局域网,另一类是无线移动通讯移动通讯移动通讯移动通讯 无线设备可以是单向

31、的,也可以是双向的。单向通信包无线设备可以是单向的,也可以是双向的。单向通信包无线设备可以是单向的,也可以是双向的。单向通信包无线设备可以是单向的,也可以是双向的。单向通信包括只接收信号的括只接收信号的括只接收信号的括只接收信号的BPBP机。机。机。机。 双向通信系统包括分组无线电网络和蜂窝系统双向通信系统包括分组无线电网络和蜂窝系统双向通信系统包括分组无线电网络和蜂窝系统双向通信系统包括分组无线电网络和蜂窝系统 分组无线电通信(分组无线电通信(分组无线电通信(分组无线电通信(PacketPacketRadioCommunicationRadioCommunication)。分组无)。分组无)

32、。分组无)。分组无线电通信将一次传输分解成许多小的包含源地址和目的地址,以线电通信将一次传输分解成许多小的包含源地址和目的地址,以线电通信将一次传输分解成许多小的包含源地址和目的地址,以线电通信将一次传输分解成许多小的包含源地址和目的地址,以及错误检测信息的数字分组。这些分组被上联到一个卫星,然后及错误检测信息的数字分组。这些分组被上联到一个卫星,然后及错误检测信息的数字分组。这些分组被上联到一个卫星,然后及错误检测信息的数字分组。这些分组被上联到一个卫星,然后再进行广播。接收设备只接收编址到它的数字分组。由于这种传再进行广播。接收设备只接收编址到它的数字分组。由于这种传再进行广播。接收设备只

33、接收编址到它的数字分组。由于这种传再进行广播。接收设备只接收编址到它的数字分组。由于这种传输是双向的,因而要使用查错和纠错技术。输是双向的,因而要使用查错和纠错技术。输是双向的,因而要使用查错和纠错技术。输是双向的,因而要使用查错和纠错技术。 蜂窝数字分组通信(蜂窝数字分组通信(蜂窝数字分组通信(蜂窝数字分组通信(CellularDigitalPacketCellularDigitalPacketCommunicationCommunication)。蜂窝通信设备是一种提供用户和他们的家)。蜂窝通信设备是一种提供用户和他们的家)。蜂窝通信设备是一种提供用户和他们的家)。蜂窝通信设备是一种提供用

34、户和他们的家庭、办公室或网络之间进行双向通信的移动计算设备。这些设备庭、办公室或网络之间进行双向通信的移动计算设备。这些设备庭、办公室或网络之间进行双向通信的移动计算设备。这些设备庭、办公室或网络之间进行双向通信的移动计算设备。这些设备具有电子函件处理能力,并且可以传输文件和其它信息具有电子函件处理能力,并且可以传输文件和其它信息具有电子函件处理能力,并且可以传输文件和其它信息具有电子函件处理能力,并且可以传输文件和其它信息 。4747GSMGSM:“全球移动通信系统全球移动通信系统”(GlobalSystemforMobilecommunications)蜂窝概念蜂窝概念GSM使用时分多址方

35、法使用时分多址方法GSM移动台分为两部分移动台分为两部分一部分包含无线接口特有的软件和硬件一部分包含无线接口特有的软件和硬件一部分包含无线接口特有的软件和硬件一部分包含无线接口特有的软件和硬件另一部分是用户数据另一部分是用户数据另一部分是用户数据另一部分是用户数据用户识别卡(用户识别卡(用户识别卡(用户识别卡(SIMSIM)GSM支持这么多数据业务,但是它基本上支持这么多数据业务,但是它基本上还是为了话音业务和低速数据业务设计的还是为了话音业务和低速数据业务设计的4848GRPSGRPSGRPS是是是是GeneralPacketRadioServiceGeneralPacketRadioSer

36、viceGPRSGPRS是一项高速数据处理的科技,即以分组的是一项高速数据处理的科技,即以分组的是一项高速数据处理的科技,即以分组的是一项高速数据处理的科技,即以分组的“ “形式形式形式形式” ”把数据传送到用户手上把数据传送到用户手上把数据传送到用户手上把数据传送到用户手上 GPRSGPRS拥有拥有拥有拥有171.2kbps171.2kbps的访问速度的访问速度的访问速度的访问速度 GPRSGPRS是基于包转发机制的是基于包转发机制的是基于包转发机制的是基于包转发机制的 GPRSGPRS是根据数据流量收费的是根据数据流量收费的是根据数据流量收费的是根据数据流量收费的 GPRSGPRS完全支持

37、了移动完全支持了移动完全支持了移动完全支持了移动InternetInternet的功能的功能的功能的功能 GPRSGPRS的缺点是:一个蜂窝小区内容量有限,并的缺点是:一个蜂窝小区内容量有限,并的缺点是:一个蜂窝小区内容量有限,并的缺点是:一个蜂窝小区内容量有限,并且实际数据传输速度远低于理论值且实际数据传输速度远低于理论值且实际数据传输速度远低于理论值且实际数据传输速度远低于理论值 4949CDMACDMACDMA全称码分多址接入技术(全称码分多址接入技术(全称码分多址接入技术(全称码分多址接入技术(CodeDivisionCodeDivisionMultipleAccessMultiple

38、Access) 建立在正交编码、相关接收的理论基础上建立在正交编码、相关接收的理论基础上建立在正交编码、相关接收的理论基础上建立在正交编码、相关接收的理论基础上运用扩频通信技术解决无线通信的选址问题的多运用扩频通信技术解决无线通信的选址问题的多运用扩频通信技术解决无线通信的选址问题的多运用扩频通信技术解决无线通信的选址问题的多址接入方式址接入方式址接入方式址接入方式在在在在CDMACDMA技术中,先用一个扩频码(技术中,先用一个扩频码(技术中,先用一个扩频码(技术中,先用一个扩频码(spreadingspreadingcodecode)对数据进行调制,然后传输)对数据进行调制,然后传输)对数据

39、进行调制,然后传输)对数据进行调制,然后传输 CDMACDMA还有一个非常显著的优点是它能充分利用还有一个非常显著的优点是它能充分利用还有一个非常显著的优点是它能充分利用还有一个非常显著的优点是它能充分利用多路衰减现象多路衰减现象多路衰减现象多路衰减现象 5050CDMA与与GSM/GPRS比较比较 GSM/GPRSGSM/GPRS系统有一个缺点,就是移动台在跨越两个蜂系统有一个缺点,就是移动台在跨越两个蜂系统有一个缺点,就是移动台在跨越两个蜂系统有一个缺点,就是移动台在跨越两个蜂窝小区的时候,要先跟原来所在的小区的基站断开连接,窝小区的时候,要先跟原来所在的小区的基站断开连接,窝小区的时候,

40、要先跟原来所在的小区的基站断开连接,窝小区的时候,要先跟原来所在的小区的基站断开连接,然后跟后来所在的小区的基站进行连接,这个称为先断然后跟后来所在的小区的基站进行连接,这个称为先断然后跟后来所在的小区的基站进行连接,这个称为先断然后跟后来所在的小区的基站进行连接,这个称为先断后连的转台(后连的转台(后连的转台(后连的转台(handoverhandover),又称为硬转台(),又称为硬转台(),又称为硬转台(),又称为硬转台(hardhardhandoverhandover)。这种情况下,正在进行的通话可能断开。)。这种情况下,正在进行的通话可能断开。)。这种情况下,正在进行的通话可能断开。)

41、。这种情况下,正在进行的通话可能断开。 而这种情况在而这种情况在而这种情况在而这种情况在CDMACDMA技术中就不存在了,因为所有的基技术中就不存在了,因为所有的基技术中就不存在了,因为所有的基技术中就不存在了,因为所有的基站都使用相同的频道,所以完全可以在断开之前先跟下站都使用相同的频道,所以完全可以在断开之前先跟下站都使用相同的频道,所以完全可以在断开之前先跟下站都使用相同的频道,所以完全可以在断开之前先跟下一个基站连接好,这称为先连后断的转台,又称为一个基站连接好,这称为先连后断的转台,又称为一个基站连接好,这称为先连后断的转台,又称为一个基站连接好,这称为先连后断的转台,又称为(sof

42、thandoversofthandover)。)。)。)。 CDMACDMA的信号功率远小于的信号功率远小于的信号功率远小于的信号功率远小于GSMGSM等系统的功率,所以其辐等系统的功率,所以其辐等系统的功率,所以其辐等系统的功率,所以其辐射也很小,这对人体健康可能有一定好处射也很小,这对人体健康可能有一定好处射也很小,这对人体健康可能有一定好处射也很小,这对人体健康可能有一定好处 5151从从1G到到3G,4G 随着对通信业务种类和数量需求的剧增业务类型主要限于语音随着对通信业务种类和数量需求的剧增业务类型主要限于语音随着对通信业务种类和数量需求的剧增业务类型主要限于语音随着对通信业务种类和

43、数量需求的剧增业务类型主要限于语音和低速数据的第二代移动通信系统已不能满足需要,大容量、和低速数据的第二代移动通信系统已不能满足需要,大容量、和低速数据的第二代移动通信系统已不能满足需要,大容量、和低速数据的第二代移动通信系统已不能满足需要,大容量、高速率、全方位的第三代移动通信系统(高速率、全方位的第三代移动通信系统(高速率、全方位的第三代移动通信系统(高速率、全方位的第三代移动通信系统(3G3G)应运而生)应运而生)应运而生)应运而生 1G1G网络网络网络网络(NMT,C-Nets,AMPS,TACS)(NMT,C-Nets,AMPS,TACS)是最早的模拟蜂窝系统是最早的模拟蜂窝系统是最

44、早的模拟蜂窝系统是最早的模拟蜂窝系统 2G2G网络网络网络网络(GSM,cdmaOne,DAMPS)(GSM,cdmaOne,DAMPS)是最早的数字蜂窝系统是最早的数字蜂窝系统是最早的数字蜂窝系统是最早的数字蜂窝系统 3G3G网络网络网络网络(UMTSFDDandTDD,cdma20001xEVDO,(UMTSFDDandTDD,cdma20001xEVDO,cdma20003x,TD-SCDMA,AribWCDMA,EDGE,IMT-2000cdma20003x,TD-SCDMA,AribWCDMA,EDGE,IMT-2000DECT)DECT)是目前已投入商用的带宽最高的无线通讯网络系统

45、是目前已投入商用的带宽最高的无线通讯网络系统是目前已投入商用的带宽最高的无线通讯网络系统是目前已投入商用的带宽最高的无线通讯网络系统 4G4G:应该是集:应该是集:应该是集:应该是集3G3G与与与与WLANWLAN于一体,于一体,于一体,于一体,4G4G系统在移动过程中,可系统在移动过程中,可系统在移动过程中,可系统在移动过程中,可以达到以达到以达到以达到10Mb/s10Mb/s至至至至20Mb/s20Mb/s的数据带宽,甚至可以达到的数据带宽,甚至可以达到的数据带宽,甚至可以达到的数据带宽,甚至可以达到100Mb/s100Mb/s,能够满足几乎所有用户对于无线服务的要求。能够满足几乎所有用户

46、对于无线服务的要求。能够满足几乎所有用户对于无线服务的要求。能够满足几乎所有用户对于无线服务的要求。 52523G的数据业务范围的数据业务范围移动音频业务移动音频业务移动音频业务移动音频业务 通过互联网协议传送声音通过互联网协议传送声音通过互联网协议传送声音通过互联网协议传送声音 静态图像静态图像静态图像静态图像 移动图像移动图像移动图像移动图像 虚拟本地环境虚拟本地环境虚拟本地环境虚拟本地环境 电子代理电子代理电子代理电子代理 未来的移动手持设备将不仅是现在的移动电话一未来的移动手持设备将不仅是现在的移动电话一未来的移动手持设备将不仅是现在的移动电话一未来的移动手持设备将不仅是现在的移动电话

47、一样以通话作为主要功能,而将是一种移动通讯设样以通话作为主要功能,而将是一种移动通讯设样以通话作为主要功能,而将是一种移动通讯设样以通话作为主要功能,而将是一种移动通讯设备跟移动计算设备的结合,也就是智能手机备跟移动计算设备的结合,也就是智能手机备跟移动计算设备的结合,也就是智能手机备跟移动计算设备的结合,也就是智能手机(IntelligentCellPhoneIntelligentCellPhone) 53533、构建嵌入式系统开发平台、构建嵌入式系统开发平台0、评估板、评估板1、入门级、入门级2、训练级、训练级3 3、高手级、高手级 4 4、大师级、大师级 54540、评估板、评估板目标板

48、目标板(Target)嵌入式应用软件的实际运行环境嵌入式应用软件的实际运行环境嵌入式应用软件的实际运行环境嵌入式应用软件的实际运行环境也可是替代实际环境的仿真系统也可是替代实际环境的仿真系统也可是替代实际环境的仿真系统也可是替代实际环境的仿真系统目标板体积小、集成度高目标板体积小、集成度高目标板的外围设备目标板的外围设备输入设备可以有键盘、鼠标、串口、红外口、触输入设备可以有键盘、鼠标、串口、红外口、触输入设备可以有键盘、鼠标、串口、红外口、触输入设备可以有键盘、鼠标、串口、红外口、触摸屏等摸屏等摸屏等摸屏等输出设备可以有显示器、串口、液晶屏等输出设备可以有显示器、串口、液晶屏等输出设备可以有

49、显示器、串口、液晶屏等输出设备可以有显示器、串口、液晶屏等硬件资源有限硬件资源有限55551、入门级:、入门级:用用用用一一一一个个个个开开开开发发发发商商商商支支支支持持持持的的的的开开开开发发发发模模模模块块块块(操操操操作作作作系系系系统统统统硬硬硬硬件件件件开开开开发发发发模模模模块块块块(购购购购买买买买或或或或租租租租借借借借)开开开开发发发发系系系系统统统统),目目目目标标标标是是是是搭建一个系统。搭建一个系统。搭建一个系统。搭建一个系统。相应的开发套件为:相应的开发套件为:相应的开发套件为:相应的开发套件为:( 1 1) 华华华华 恒恒恒恒 HHCO5272-LCD-IDE-R

50、1HHCO5272-LCD-IDE-R1嵌嵌嵌嵌 入入入入 式式式式LinuxLinux开发套件开发套件开发套件开发套件研研研研究究究究意意意意义义义义:ColdFire ColdFire 52725272(内内内内置置置置10/10010/100以以以以太太太太网网网网,66MHz66MHz),),),),UcLinuxUcLinux(源代码支持)(源代码支持)(源代码支持)(源代码支持)(2 2)WH5307SDKWH5307SDK(模模模模块块块块WH5307SOMWH5307SOM电电电电路路路路板板板板WH5307DBWH5307DBSDKSDK软件)软件)软件)软件)研研研研 究究

51、究究 意意意意 义义义义 : ColdFire ColdFire 53075307( 88.472MHz88.472MHz) ,UcLinuxUcLinux,嵌入式,嵌入式,嵌入式,嵌入式InternetInternet56562、训练级:、训练级:用用用用LinuxLinux等等等等在在在在现现现现有有有有硬硬硬硬件件件件模模模模块块块块上上上上跑跑跑跑起起起起来来来来,并并并并对对对对部部部部分分分分应用模块进行裁剪。应用开发的仿真。应用模块进行裁剪。应用开发的仿真。应用模块进行裁剪。应用开发的仿真。应用模块进行裁剪。应用开发的仿真。(1 1)以色列以色列以色列以色列786CoreModu

52、le786CoreModule 研研研研究究究究意意意意义义义义:PCPC兼兼兼兼容容容容单单单单板板板板机机机机,Celeron Celeron or or Pentium-Pentium-III III selection, selection, 400 400 - - 700 700 MHzMHz支支支支持持持持包包包包括括括括LinuxLinux、DosDos(支支支支持持持持网网网网络络络络功功功功能能能能)、VxWorksVxWorks和和和和WindowsCE/98/NTWindowsCE/98/NT等多种操作系统等多种操作系统等多种操作系统等多种操作系统(2 2)ARMARM教

53、教教教育育育育版版版版DeltaOSDeltaOS开开开开发发发发套套套套件件件件(DeltaCoreDeltaCoreLambdaIDELambdaIDEARM7EHPARM7EHP)研究意义:研究意义:研究意义:研究意义:DeltaOSDeltaOS,LambdaTOOLLambdaTOOL,ARM7ARM7单板机单板机单板机单板机57573、高手级:、高手级:修修修修改改改改部部部部分分分分内内内内核核核核,重重重重建建建建操操操操作作作作系系系系统统统统,熟熟熟熟悉悉悉悉多多多多个个个个操操操操作作作作系系系系统与多个处理器。着手定制硬件模块。统与多个处理器。着手定制硬件模块。统与多个

54、处理器。着手定制硬件模块。统与多个处理器。着手定制硬件模块。(1 1)CAD-UL WorkbenchCAD-UL Workbench研研研研究究究究意意意意义义义义:X86X86系系系系列列列列CPUCPU的的的的开开开开发发发发环环环环境境境境和和和和工工工工具具具具处处处处于于于于业界领先位业界领先位业界领先位业界领先位(2 2)CodeWarrior* IDE CodeWarrior* IDE 研研研研究究究究意意意意义义义义: 提提提提供供供供统统统统一一一一的的的的图图图图形形形形用用用用户户户户界界界界面面面面来来来来集集集集成成成成各各各各种种种种开开开开发发发发工工工工具具具

55、具,支支支支持持持持多多多多种种种种主主主主机机机机平平平平台台台台、多多多多种种种种编编编编程程程程语语语语言言言言、多多多多种种种种处处处处理理理理器器器器和和和和多多多多种种种种实实实实时时时时操操操操作作作作系系系系统统统统,称称称称为为为为下下下下一一一一代代代代集集集集成开发环境。成开发环境。成开发环境。成开发环境。5858(3 3)pRISM+ pRISM+ 研研研研究究究究意意意意义义义义:是是是是一一一一个个个个集集集集成成成成多多多多种种种种嵌嵌嵌嵌入入入入式式式式应应应应用用用用系系系系统统统统开开开开发发发发、分分分分析析析析、测测测测试试试试工工工工具具具具的的的的综

56、综综综合合合合开开开开发发发发环环环环境境境境,是是是是与与与与pSOSpSOS实实实实时时时时操操操操作作作作系系系系统统统统结结结结合合合合得得得得最最最最紧紧紧紧密密密密的的的的集集集集成成成成开开开开发发发发环环环环境境境境。Tornadol/VxWorksTornadol/VxWorks(4 4)TMS320VS5471 TMS320VS5471 Development Development BundleBundle(Linux Linux OS, OS, drivers, drivers, utilities, utilities, GNU ARM + C54x Linux to

57、olsGNU ARM + C54x Linux tools研究意义:研究意义:研究意义:研究意义:DSP54xxDSP54xxARM7ARM7双核处理器双核处理器双核处理器双核处理器(5 5) IntelIntel 无无无无线线线线移移移移动动动动嵌嵌嵌嵌入入入入式式式式开开开开发发发发平平平平台台台台SA1110SA1110研研研研究究究究意意意意义义义义:StrongARMStrongARM,PDAPDA,蓝蓝蓝蓝牙牙牙牙协协协协议议议议,WinCE WinCE 59594、大师级:大师级:自自自自己己己己动动动动手手手手搭搭搭搭建建建建操操操操作作作作系系系系统统统统内内内内核核核核,拥

58、拥拥拥有有有有自自自自有有有有产产产产权权权权的的的的硬硬硬硬件产品。件产品。件产品。件产品。(1 1)CCS 2.1 (OMAPCCS 2.1 (OMAP研研研研究究究究意意意意义义义义:DSP55xxDSP55xxARM9ARM9,高高高高性性性性能能能能嵌嵌嵌嵌入入入入式式式式处处处处理理理理器器器器之之之之一一一一(2 2)PXA250/210PXA250/210开发平台开发平台开发平台开发平台1 1:Intel PCA Development KitsIntel PCA Development Kits开发平台开发平台开发平台开发平台2 2:Accelents PXA250 base

59、d IDPsAccelents PXA250 based IDPs研研研研究究究究意意意意义义义义:PXAPXA,IntelIntel的的的的XScaleXScale架架架架构构构构,高高高高性性性性能能能能嵌嵌嵌嵌入入入入式式式式处处处处理理理理器之一器之一器之一器之一 60604、SOCSystemOnChip:系统级芯片:系统级芯片VLSI工艺技术工艺技术器件特征尺寸越来越小,器件特征尺寸越来越小,芯片规模越来越大芯片规模越来越大数百万门级的电路集成在一个芯片上数百万门级的电路集成在一个芯片上兼容工艺技术兼容工艺技术-将差别很大的不同种器件将差别很大的不同种器件在同一个芯片上集成在同一个

60、芯片上集成6161具备完整系统结构与功能的芯片具备完整系统结构与功能的芯片包含可执行控制包含可执行控制/运算或信号处理功能的处运算或信号处理功能的处理器、内存、外围电路及系统理器、内存、外围电路及系统IP特定逻辑特定逻辑电路电路6262单片数字系统单片数字系统单片数字系统单片数字系统 把功能复杂的若干个数字逻辑电路放在同一个芯片上把功能复杂的若干个数字逻辑电路放在同一个芯片上把功能复杂的若干个数字逻辑电路放在同一个芯片上把功能复杂的若干个数字逻辑电路放在同一个芯片上SOCSOC 还包括其它类型的电子功能器件还包括其它类型的电子功能器件还包括其它类型的电子功能器件还包括其它类型的电子功能器件 模

61、拟器件模拟器件模拟器件模拟器件 专用存贮器专用存贮器专用存贮器专用存贮器 射频器件射频器件射频器件射频器件 MEMSMEMS系统级芯片起码应在单片上包括数字系统和模拟系统级芯片起码应在单片上包括数字系统和模拟系统级芯片起码应在单片上包括数字系统和模拟系统级芯片起码应在单片上包括数字系统和模拟电子器件。电子器件。电子器件。电子器件。 6363单片系统级芯片单片系统级芯片Vs多芯片系统多芯片系统速度速度功耗功耗成本成本专用专用6464SOC的优势的优势1、降低耗电量、降低耗电量2、减少体积、减少体积3、增加系统功能、增加系统功能4、提高速度、提高速度5、节省成本、节省成本6565一:系统级芯片特点

62、一:系统级芯片特点1 1、规模大、结构复杂。、规模大、结构复杂。、规模大、结构复杂。、规模大、结构复杂。数百万门乃至上亿个元器件设计规模数百万门乃至上亿个元器件设计规模数百万门乃至上亿个元器件设计规模数百万门乃至上亿个元器件设计规模电路结构包括电路结构包括电路结构包括电路结构包括MPUMPU、SRAMSRAM、DRAMDRAM、EPROMEPROM、闪速存贮器、闪速存贮器、闪速存贮器、闪速存贮器、ADCADC、DACDAC以及其它以及其它以及其它以及其它模拟和射频电路模拟和射频电路模拟和射频电路模拟和射频电路采用被称为知识产权(采用被称为知识产权(采用被称为知识产权(采用被称为知识产权(IPI

63、P)的更大的部件或模的更大的部件或模的更大的部件或模的更大的部件或模块块块块66662 2、速度高、时序关系严密。、速度高、时序关系严密。、速度高、时序关系严密。、速度高、时序关系严密。高达数百兆的系统时钟频率高达数百兆的系统时钟频率高达数百兆的系统时钟频率高达数百兆的系统时钟频率各模块内和模块间错综复杂的时序关系各模块内和模块间错综复杂的时序关系各模块内和模块间错综复杂的时序关系各模块内和模块间错综复杂的时序关系问题:时序验证、低功耗设计以及信号完整性问题:时序验证、低功耗设计以及信号完整性问题:时序验证、低功耗设计以及信号完整性问题:时序验证、低功耗设计以及信号完整性和电磁干扰、信号串扰等

64、高频效应和电磁干扰、信号串扰等高频效应和电磁干扰、信号串扰等高频效应和电磁干扰、信号串扰等高频效应67673 3、时序匹配、时序匹配、时序匹配、时序匹配采用深亚微米工艺加工技术,在深亚微米时走采用深亚微米工艺加工技术,在深亚微米时走采用深亚微米工艺加工技术,在深亚微米时走采用深亚微米工艺加工技术,在深亚微米时走线延迟和门延迟成为主要因素线延迟和门延迟成为主要因素线延迟和门延迟成为主要因素线延迟和门延迟成为主要因素复杂的时序关系,电路中时序匹配困难复杂的时序关系,电路中时序匹配困难复杂的时序关系,电路中时序匹配困难复杂的时序关系,电路中时序匹配困难深亚微米工艺的十分小的线间矩和层间距,线深亚微米

65、工艺的十分小的线间矩和层间距,线深亚微米工艺的十分小的线间矩和层间距,线深亚微米工艺的十分小的线间矩和层间距,线间和层间的信号耦合作用增强,再加之十分高间和层间的信号耦合作用增强,再加之十分高间和层间的信号耦合作用增强,再加之十分高间和层间的信号耦合作用增强,再加之十分高的系统工作频率,电磁干扰、信号串扰现象,的系统工作频率,电磁干扰、信号串扰现象,的系统工作频率,电磁干扰、信号串扰现象,的系统工作频率,电磁干扰、信号串扰现象,给设计验证带来困难给设计验证带来困难给设计验证带来困难给设计验证带来困难 6868二、二、SOC设计技术设计技术1 1、设计再利用、设计再利用、设计再利用、设计再利用I

66、PIP复用:复用:复用:复用:IntellectualPropertyIntellectualProperty自主知识产权自主知识产权自主知识产权自主知识产权 芯核(芯核(芯核(芯核(CORECORE):):):):将已经验证的各种超级宏单将已经验证的各种超级宏单将已经验证的各种超级宏单将已经验证的各种超级宏单元模块电路制成芯核元模块电路制成芯核元模块电路制成芯核元模块电路制成芯核6969芯核芯核通常分为三种通常分为三种通常分为三种通常分为三种硬核,具有和特定工艺相连系的物理版图,己被硬核,具有和特定工艺相连系的物理版图,己被硬核,具有和特定工艺相连系的物理版图,己被硬核,具有和特定工艺相连系

67、的物理版图,己被投片测试验证。可被新设计作为特定的功能模块投片测试验证。可被新设计作为特定的功能模块投片测试验证。可被新设计作为特定的功能模块投片测试验证。可被新设计作为特定的功能模块直接调用直接调用直接调用直接调用软核,是用硬件描述语言或软核,是用硬件描述语言或软核,是用硬件描述语言或软核,是用硬件描述语言或C C语言写成,用于功语言写成,用于功语言写成,用于功语言写成,用于功能仿真能仿真能仿真能仿真固核(固核(固核(固核(firmcorefirmcore),是在软核的基础上开发的,),是在软核的基础上开发的,),是在软核的基础上开发的,),是在软核的基础上开发的,是一种可综合的并带有布局规

68、划的软核。是一种可综合的并带有布局规划的软核。是一种可综合的并带有布局规划的软核。是一种可综合的并带有布局规划的软核。7070常用复用方法常用复用方法依靠固核,将电阻晶体管级依靠固核,将电阻晶体管级依靠固核,将电阻晶体管级依靠固核,将电阻晶体管级 (RTLRTL级)描述结合级)描述结合级)描述结合级)描述结合具体标准单元库进行逻辑综合优化,形成门级网具体标准单元库进行逻辑综合优化,形成门级网具体标准单元库进行逻辑综合优化,形成门级网具体标准单元库进行逻辑综合优化,形成门级网表,再通过布局布线工具最终形成设计所需的硬表,再通过布局布线工具最终形成设计所需的硬表,再通过布局布线工具最终形成设计所需

69、的硬表,再通过布局布线工具最终形成设计所需的硬核核核核在深亚微米(在深亚微米(在深亚微米(在深亚微米(DSMDSM)下很难用软的)下很难用软的)下很难用软的)下很难用软的RTLRTL综合方法综合方法综合方法综合方法达到设计再利达到设计再利达到设计再利达到设计再利设计方法从电路设计转向系统设计设计方法从电路设计转向系统设计设计方法从电路设计转向系统设计设计方法从电路设计转向系统设计7171设计重心设计重心原重心:逻辑综合、门级布局布线、后模拟原重心:逻辑综合、门级布局布线、后模拟原重心:逻辑综合、门级布局布线、后模拟原重心:逻辑综合、门级布局布线、后模拟现重心:系统级模拟,软硬件联合仿真,以及若

70、现重心:系统级模拟,软硬件联合仿真,以及若现重心:系统级模拟,软硬件联合仿真,以及若现重心:系统级模拟,软硬件联合仿真,以及若干个芯核组合在一起的物理设计干个芯核组合在一起的物理设计干个芯核组合在一起的物理设计干个芯核组合在一起的物理设计7272IP可复用可复用73732、低功耗设计、低功耗设计1 1)降低工作电压:太低工作电压将影响系统性)降低工作电压:太低工作电压将影响系统性)降低工作电压:太低工作电压将影响系统性)降低工作电压:太低工作电压将影响系统性能能能能-不可行不可行不可行不可行方法方法方法方法1 1:采用空闲(:采用空闲(:采用空闲(:采用空闲(Id1eId1e)模式和低功耗模式

71、:)模式和低功耗模式:)模式和低功耗模式:)模式和低功耗模式:系统处于等待状态或处于低电压低时钟频率的低系统处于等待状态或处于低电压低时钟频率的低系统处于等待状态或处于低电压低时钟频率的低系统处于等待状态或处于低电压低时钟频率的低功耗模式功耗模式功耗模式功耗模式方法方法方法方法2 2:采用可编程电源:采用可编程电源:采用可编程电源:采用可编程电源74742 2)在电路组态结构方面尽可能少采用传统的互)在电路组态结构方面尽可能少采用传统的互)在电路组态结构方面尽可能少采用传统的互)在电路组态结构方面尽可能少采用传统的互补式电路结构补式电路结构补式电路结构补式电路结构互补电路结构每个门输入端具有一

72、对互补电路结构每个门输入端具有一对互补电路结构每个门输入端具有一对互补电路结构每个门输入端具有一对P P、NMOSNMOS管,形成较大的容性负载,管,形成较大的容性负载,管,形成较大的容性负载,管,形成较大的容性负载,CMOSCMOS电路工作时对电路工作时对电路工作时对电路工作时对负载电容开关充放电功耗占整个功耗的百分之七负载电容开关充放电功耗占整个功耗的百分之七负载电容开关充放电功耗占整个功耗的百分之七负载电容开关充放电功耗占整个功耗的百分之七十以上十以上十以上十以上深亚微米的电路结构组态多选择低负载电容的电深亚微米的电路结构组态多选择低负载电容的电深亚微米的电路结构组态多选择低负载电容的电

73、深亚微米的电路结构组态多选择低负载电容的电路结构组态,如开关逻辑,路结构组态,如开关逻辑,路结构组态,如开关逻辑,路结构组态,如开关逻辑,DominoDomino逻辑以及逻辑以及逻辑以及逻辑以及NPNP逻辑,使速度和功耗得到较好的优化。逻辑,使速度和功耗得到较好的优化。逻辑,使速度和功耗得到较好的优化。逻辑,使速度和功耗得到较好的优化。 75753 3)低功耗的逻辑设计)低功耗的逻辑设计)低功耗的逻辑设计)低功耗的逻辑设计一个数百兆频率的工作的系统不可能处处都是几一个数百兆频率的工作的系统不可能处处都是几一个数百兆频率的工作的系统不可能处处都是几一个数百兆频率的工作的系统不可能处处都是几百兆频

74、率工作百兆频率工作百兆频率工作百兆频率工作对于电路中那些速度不高或驱动能力不大的部位对于电路中那些速度不高或驱动能力不大的部位对于电路中那些速度不高或驱动能力不大的部位对于电路中那些速度不高或驱动能力不大的部位可采用低功耗的门,以降低系统功耗可采用低功耗的门,以降低系统功耗可采用低功耗的门,以降低系统功耗可采用低功耗的门,以降低系统功耗尽可能用低功耗的单元电路尽可能用低功耗的单元电路尽可能用低功耗的单元电路尽可能用低功耗的单元电路76764 4)采用低功耗电路设计技术,)采用低功耗电路设计技术,)采用低功耗电路设计技术,)采用低功耗电路设计技术,MOSMOS输出电路几输出电路几输出电路几输出电

75、路几乎都采用一对互补的乎都采用一对互补的乎都采用一对互补的乎都采用一对互补的P P、NMOSNMOS管,在开关过程管,在开关过程管,在开关过程管,在开关过程中,在瞬间存在两个器件同时通导,造成很大功中,在瞬间存在两个器件同时通导,造成很大功中,在瞬间存在两个器件同时通导,造成很大功中,在瞬间存在两个器件同时通导,造成很大功耗,对系统级芯片引出腿多,电路频率高,这一耗,对系统级芯片引出腿多,电路频率高,这一耗,对系统级芯片引出腿多,电路频率高,这一耗,对系统级芯片引出腿多,电路频率高,这一现象更存严重,回此在电路设计时应尽可能避免现象更存严重,回此在电路设计时应尽可能避免现象更存严重,回此在电路

76、设计时应尽可能避免现象更存严重,回此在电路设计时应尽可能避免这一问题出现以降低功耗这一问题出现以降低功耗这一问题出现以降低功耗这一问题出现以降低功耗 77773、可测性设计技术、可测性设计技术三种方法:三种方法:三种方法:三种方法:(1 1)并行直接接入技术)并行直接接入技术)并行直接接入技术)并行直接接入技术(2 2)串行扫描链接入法)串行扫描链接入法)串行扫描链接入法)串行扫描链接入法(3 3)接入功能测试机构)接入功能测试机构)接入功能测试机构)接入功能测试机构( (存储器芯核测试常用存储器芯核测试常用存储器芯核测试常用存储器芯核测试常用) )完整的系统级芯片测试应包括芯核内部测试,周完

77、整的系统级芯片测试应包括芯核内部测试,周完整的系统级芯片测试应包括芯核内部测试,周完整的系统级芯片测试应包括芯核内部测试,周围逻辑电路进行跨芯核的测试,以及对用户自定围逻辑电路进行跨芯核的测试,以及对用户自定围逻辑电路进行跨芯核的测试,以及对用户自定围逻辑电路进行跨芯核的测试,以及对用户自定义逻辑电路的测试义逻辑电路的测试义逻辑电路的测试义逻辑电路的测试78784、深亚微米、深亚微米SOC的物理综合的物理综合物理综合过程分为初始规划、物理综合过程分为初始规划、物理综合过程分为初始规划、物理综合过程分为初始规划、RTLRTL规划和门级规规划和门级规规划和门级规规划和门级规划三个阶段划三个阶段划三

78、个阶段划三个阶段初始规划阶段,首先完成初始布局,将初始规划阶段,首先完成初始布局,将初始规划阶段,首先完成初始布局,将初始规划阶段,首先完成初始布局,将RTLRTL模模模模块安置在芯片上,并完成块安置在芯片上,并完成块安置在芯片上,并完成块安置在芯片上,并完成I/OI/O布局,电源线规布局,电源线规布局,电源线规布局,电源线规划。根据电路时序分折和布线拥挤程度的分析,划。根据电路时序分折和布线拥挤程度的分析,划。根据电路时序分折和布线拥挤程度的分析,划。根据电路时序分折和布线拥挤程度的分析,设计人员可重新划分电路模块。通过顶层布线,设计人员可重新划分电路模块。通过顶层布线,设计人员可重新划分电

79、路模块。通过顶层布线,设计人员可重新划分电路模块。通过顶层布线,进行模块间的布线。并提取寄生参数,生成精进行模块间的布线。并提取寄生参数,生成精进行模块间的布线。并提取寄生参数,生成精进行模块间的布线。并提取寄生参数,生成精确线网模型,确定各个确线网模型,确定各个确线网模型,确定各个确线网模型,确定各个RTLRTL模块的时序约束,模块的时序约束,模块的时序约束,模块的时序约束,形成综合约束形成综合约束形成综合约束形成综合约束7979RTLRTL规划阶段是对规划阶段是对规划阶段是对规划阶段是对RTLRTL模块进行更精确的面积模块进行更精确的面积模块进行更精确的面积模块进行更精确的面积和时序的估算

80、。通过和时序的估算。通过和时序的估算。通过和时序的估算。通过RTLRTL估算器快速生存门级估算器快速生存门级估算器快速生存门级估算器快速生存门级网表,再进行快速布局获得网表,再进行快速布局获得网表,再进行快速布局获得网表,再进行快速布局获得RTLRTL模块的更精确模块的更精确模块的更精确模块的更精确描述。并基于这种描述对布局顶层布线、管脚描述。并基于这种描述对布局顶层布线、管脚描述。并基于这种描述对布局顶层布线、管脚描述。并基于这种描述对布局顶层布线、管脚位置进行精细调整。最后获得每一位置进行精细调整。最后获得每一位置进行精细调整。最后获得每一位置进行精细调整。最后获得每一RTLRTL模块的模

81、块的模块的模块的线负载模型和精确的各模块的综合约束线负载模型和精确的各模块的综合约束线负载模型和精确的各模块的综合约束线负载模型和精确的各模块的综合约束8080门级规划是对每一门级规划是对每一门级规划是对每一门级规划是对每一RTLRTL级模块独立地进行综合级模块独立地进行综合级模块独立地进行综合级模块独立地进行综合优化,完成门级网表,最后进行布局布线。对优化,完成门级网表,最后进行布局布线。对优化,完成门级网表,最后进行布局布线。对优化,完成门级网表,最后进行布局布线。对每一每一每一每一RTLRTL模块和整个芯片综合产生时钟树。还模块和整个芯片综合产生时钟树。还模块和整个芯片综合产生时钟树。还

82、模块和整个芯片综合产生时钟树。还进行时序和线扔挤度分析,如果发现问题,可进行时序和线扔挤度分析,如果发现问题,可进行时序和线扔挤度分析,如果发现问题,可进行时序和线扔挤度分析,如果发现问题,可进行局部修改。由于物理综合过程和前端逻辑进行局部修改。由于物理综合过程和前端逻辑进行局部修改。由于物理综合过程和前端逻辑进行局部修改。由于物理综合过程和前端逻辑综合紧密相连,逻辑综合是在布局布线的基础综合紧密相连,逻辑综合是在布局布线的基础综合紧密相连,逻辑综合是在布局布线的基础综合紧密相连,逻辑综合是在布局布线的基础上进行,因此延迟模型准确,设计反复较少上进行,因此延迟模型准确,设计反复较少上进行,因此

83、延迟模型准确,设计反复较少上进行,因此延迟模型准确,设计反复较少81815、设计验证、设计验证硬件硬件硬件硬件/ /软件协同验证技术软件协同验证技术软件协同验证技术软件协同验证技术8282设计与验证并行的模式从规格说明(Specification)确定起,采用验证与设计并行的模式,正确预测项目时间进度,及早的发现功能Bug,及时修正RTL代码,实现设计周期的可控性,保证项目进度按时完成8383三:硅加工技术是单片系统设计成功三:硅加工技术是单片系统设计成功的关键因素的关键因素CMOSCMOS数字逻揖加工工艺数字逻揖加工工艺数字逻揖加工工艺数字逻揖加工工艺掩模工艺掩模工艺掩模工艺掩模工艺系统对存

84、贮器的技术要求系统对存贮器的技术要求系统对存贮器的技术要求系统对存贮器的技术要求 8484SOC实例实例1矽统科技推出的矽统科技推出的矽统科技推出的矽统科技推出的SoCSoC产品产品产品产品SiS550SiS550单芯片整合了单芯片整合了单芯片整合了单芯片整合了X86CPUX86CPU,南桥与北桥及,南桥与北桥及,南桥与北桥及,南桥与北桥及GUIGUI引擎,并支持引擎,并支持引擎,并支持引擎,并支持2D2D绘图引擎、绘图引擎、绘图引擎、绘图引擎、DFP/TVDFP/TV输出、输出、输出、输出、DSTNDSTN、PCI/USB/IDEPCI/USB/IDE、六声道音效、六声道音效、六声道音效、六

85、声道音效、LPT/CIRLPT/CIR控制器与控制器与控制器与控制器与FlashROMFlashROM的接口,提供给客户功能最的接口,提供给客户功能最的接口,提供给客户功能最的接口,提供给客户功能最齐全的解决方案。齐全的解决方案。齐全的解决方案。齐全的解决方案。SiS550SiS550定位在单一用途、功能简易的定位在单一用途、功能简易的定位在单一用途、功能简易的定位在单一用途、功能简易的IAIA产品上,产品上,产品上,产品上,如如如如I-BoxI-Box上网机、上网机、上网机、上网机、Web-PadWeb-Pad、Thin-ClientThin-Client、PocketPCPocketPC与

86、与与与IPCIPC等等等等85858686ARM系列系列SOCCirruslogic公司公司机机顶顶盒盒/mp3/IA等等专专用用SOC,有有数数模模混混合合和视频和视频/音频处理优势。音频处理优势。Samsung:Arm系系列列SOC芯芯片片,集集成成度度高高,关关注注mp3/pda应用。应用。8787SoC实现视频图形引擎功能实现视频图形引擎功能88888989SOC系统设计系统设计单片系统的复杂性以及快速完成设计、降低成本单片系统的复杂性以及快速完成设计、降低成本单片系统的复杂性以及快速完成设计、降低成本单片系统的复杂性以及快速完成设计、降低成本等要求,决定系统级芯片的设计必须采用知识产

87、等要求,决定系统级芯片的设计必须采用知识产等要求,决定系统级芯片的设计必须采用知识产等要求,决定系统级芯片的设计必须采用知识产权(权(权(权(IPIP)复用方法)复用方法)复用方法)复用方法低功耗设计、可测性设计是系统级芯片设计的基低功耗设计、可测性设计是系统级芯片设计的基低功耗设计、可测性设计是系统级芯片设计的基低功耗设计、可测性设计是系统级芯片设计的基本技术。混合信号模拟本技术。混合信号模拟本技术。混合信号模拟本技术。混合信号模拟软硬件协同验证是系统级芯片设计必须的验证方软硬件协同验证是系统级芯片设计必须的验证方软硬件协同验证是系统级芯片设计必须的验证方软硬件协同验证是系统级芯片设计必须的

88、验证方法法法法正确选择硅加工工艺是实现单片系统集成的关键正确选择硅加工工艺是实现单片系统集成的关键正确选择硅加工工艺是实现单片系统集成的关键正确选择硅加工工艺是实现单片系统集成的关键因素因素因素因素9090国内移动通信类国内移动通信类国内移动通信类国内移动通信类SOCSOC的开发主要集中在华为、中的开发主要集中在华为、中的开发主要集中在华为、中的开发主要集中在华为、中兴、大唐等通信公司兴、大唐等通信公司兴、大唐等通信公司兴、大唐等通信公司数字家电类数字家电类数字家电类数字家电类SOCSOC的开发主要集中在海尔、华大、的开发主要集中在海尔、华大、的开发主要集中在海尔、华大、的开发主要集中在海尔、

89、华大、华虹等设计公司。华虹等设计公司。华虹等设计公司。华虹等设计公司。91915、节能计算、节能计算硬件节能硬件节能软件节能软件节能9292节能计算成为嵌入式系统设计、系统软件的发展节能计算成为嵌入式系统设计、系统软件的发展节能计算成为嵌入式系统设计、系统软件的发展节能计算成为嵌入式系统设计、系统软件的发展点点点点节能计算包括:节能计算包括:节能计算包括:节能计算包括: 硬件体系结构支持硬件体系结构支持硬件体系结构支持硬件体系结构支持 在小巧外形尺寸下,如何实现所需电源性能的工艺和技术在小巧外形尺寸下,如何实现所需电源性能的工艺和技术在小巧外形尺寸下,如何实现所需电源性能的工艺和技术在小巧外形

90、尺寸下,如何实现所需电源性能的工艺和技术 热管理、降噪、电池管理和功能整合热管理、降噪、电池管理和功能整合热管理、降噪、电池管理和功能整合热管理、降噪、电池管理和功能整合 软件支持软件支持软件支持软件支持 节能编译节能编译节能编译节能编译 操作系统操作系统操作系统操作系统 节能策略节能策略节能策略节能策略9393现状现状电源管理策略电源管理策略面向面向PC、笔记本电脑、服务器、通信设备、笔记本电脑、服务器、通信设备的刀片服务器的刀片服务器APMAPM:高级电源管理方案:高级电源管理方案:高级电源管理方案:高级电源管理方案 ACPIACPI:高级配置和电源接口:高级配置和电源接口:高级配置和电源

91、接口:高级配置和电源接口 根据嵌入式系统模型定制技能技术方面稀根据嵌入式系统模型定制技能技术方面稀少,甚至某些方面真空少,甚至某些方面真空9494手持设备管理策略手持设备管理策略处理器时钟变慢处理器时钟变慢操作系统转入待机、睡眠状态操作系统转入待机、睡眠状态动态电源管理动态电源管理(DPM)调整内核电压和频率调整内核电压和频率调整内核电压和频率调整内核电压和频率 高性能存储器高性能存储器高性能存储器高性能存储器彩色显示器彩色显示器彩色显示器彩色显示器无线接口无线接口无线接口无线接口 固化为通用电源管理固化为通用电源管理IC9595软件节能策略软件节能策略预测什么时候设备的未来使用状况或者使预测

92、什么时候设备的未来使用状况或者使用率用率“time-out”策略预测特定的时间长度策略预测特定的时间长度基于基于GSPN网络和网络和HMM的技术的技术Montavista基于基于基于基于linuxlinux通用性强,但是嵌入式系统定制性强,效果不通用性强,但是嵌入式系统定制性强,效果不通用性强,但是嵌入式系统定制性强,效果不通用性强,但是嵌入式系统定制性强,效果不佳佳佳佳9696能耗测试能耗测试测试出每条指令的能耗,估计测试程序运测试出每条指令的能耗,估计测试程序运行玩完的总能耗行玩完的总能耗测试出每个函数的能耗,然后利用这些函测试出每个函数的能耗,然后利用这些函数作为标准去估计其他函数运行的

93、能耗数作为标准去估计其他函数运行的能耗9797节能操作系统节能操作系统 目的目的目的目的 保证操作系统实时性的前提下,完成能耗计算保证操作系统实时性的前提下,完成能耗计算保证操作系统实时性的前提下,完成能耗计算保证操作系统实时性的前提下,完成能耗计算 调度算法的节能优化调度算法的节能优化调度算法的节能优化调度算法的节能优化 中断处理优化中断处理优化中断处理优化中断处理优化 低功耗文件系统低功耗文件系统低功耗文件系统低功耗文件系统 CPUCPU电压和频率调节会给实时性能带来了严峻挑战电压和频率调节会给实时性能带来了严峻挑战电压和频率调节会给实时性能带来了严峻挑战电压和频率调节会给实时性能带来了严

94、峻挑战 可能造成不稳定可能造成不稳定可能造成不稳定可能造成不稳定 “ “重新锁定重新锁定重新锁定重新锁定” ”锁相环路和其它动态时钟机制需要时间,造成了很长锁相环路和其它动态时钟机制需要时间,造成了很长锁相环路和其它动态时钟机制需要时间,造成了很长锁相环路和其它动态时钟机制需要时间,造成了很长的等待时间(有时是毫秒级别)的等待时间(有时是毫秒级别)的等待时间(有时是毫秒级别)的等待时间(有时是毫秒级别) 锁定期间锁定期间锁定期间锁定期间CPUCPU既不能执行计算操作,又不能响应外部事件(中既不能执行计算操作,又不能响应外部事件(中既不能执行计算操作,又不能响应外部事件(中既不能执行计算操作,又

95、不能响应外部事件(中断)断)断)断) 每一软件每一软件每一软件每一软件 相应的手机最低电压相应的手机最低电压相应的手机最低电压相应的手机最低电压 CPUCPU频率频率频率频率 相应设备相应设备相应设备相应设备 通过动态的调节通过动态的调节通过动态的调节通过动态的调节CPUCPU的内核频率与内核电压,以及动态的内核频率与内核电压,以及动态的内核频率与内核电压,以及动态的内核频率与内核电压,以及动态的关闭系统的某些设备和调整某些设备的状态的关闭系统的某些设备和调整某些设备的状态的关闭系统的某些设备和调整某些设备的状态的关闭系统的某些设备和调整某些设备的状态 9898外设驱动外设驱动 针对不同功能部

96、件的能耗,进行合理的功耗优化针对不同功能部件的能耗,进行合理的功耗优化针对不同功能部件的能耗,进行合理的功耗优化针对不同功能部件的能耗,进行合理的功耗优化 从电池开始,严格和精确地电量测量从电池开始,严格和精确地电量测量从电池开始,严格和精确地电量测量从电池开始,严格和精确地电量测量 根据外设特征研究不同的电源管理策略下的驱动根据外设特征研究不同的电源管理策略下的驱动根据外设特征研究不同的电源管理策略下的驱动根据外设特征研究不同的电源管理策略下的驱动 现有情况现有情况现有情况现有情况 硬件产品有几种状态定义硬件产品有几种状态定义硬件产品有几种状态定义硬件产品有几种状态定义 状态提供不同的服务质

97、量和消耗的能量状态提供不同的服务质量和消耗的能量状态提供不同的服务质量和消耗的能量状态提供不同的服务质量和消耗的能量 提供各种状态之间的转换接口提供各种状态之间的转换接口提供各种状态之间的转换接口提供各种状态之间的转换接口 算法目标算法目标算法目标算法目标 实现状态转换的节能策略实现状态转换的节能策略实现状态转换的节能策略实现状态转换的节能策略 减少待机能耗减少待机能耗减少待机能耗减少待机能耗 人工智能技术的应用人工智能技术的应用人工智能技术的应用人工智能技术的应用 通过软件来动态的预测和控制某些部件的开关通过软件来动态的预测和控制某些部件的开关通过软件来动态的预测和控制某些部件的开关通过软件来动态的预测和控制某些部件的开关9999节能通讯协议栈节能通讯协议栈连接干预连接干预节能的传输调度算法节能的传输调度算法缓冲区技术缓冲区技术缓冲区技术缓冲区技术局部性原理与预取局部性原理与预取局部性原理与预取局部性原理与预取低功耗压缩算法低功耗压缩算法100100节能编译节能编译对每条指令的能耗进行测试对每条指令的能耗进行测试对函数编译结果的能耗进行估计对函数编译结果的能耗进行估计错误处理代码的能耗设计错误处理代码的能耗设计基于基于ICE进行函数执行代码统计进行函数执行代码统计101101部分资料从网络收集整理而来,供大家参考,感谢您的关注!

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号