数字电子技术基础第4章数字电子技术基础

上传人:pu****.1 文档编号:578964894 上传时间:2024-08-25 格式:PPT 页数:72 大小:1.68MB
返回 下载 相关 举报
数字电子技术基础第4章数字电子技术基础_第1页
第1页 / 共72页
数字电子技术基础第4章数字电子技术基础_第2页
第2页 / 共72页
数字电子技术基础第4章数字电子技术基础_第3页
第3页 / 共72页
数字电子技术基础第4章数字电子技术基础_第4页
第4页 / 共72页
数字电子技术基础第4章数字电子技术基础_第5页
第5页 / 共72页
点击查看更多>>
资源描述

《数字电子技术基础第4章数字电子技术基础》由会员分享,可在线阅读,更多相关《数字电子技术基础第4章数字电子技术基础(72页珍藏版)》请在金锄头文库上搜索。

1、数字电子技术基础数字电子技术基础 1第第4 4章章 触发器触发器 2第第4 4章章 触发器触发器概述概述4.1 基本触基本触发器器4.2 同步触同步触发器器4.3 边沿触沿触发器器4.4 触触发器的器的电气特性气特性3概概 述述数字电路:数字电路:分组合逻辑电路和时序逻辑电路两大类。分组合逻辑电路和时序逻辑电路两大类。组合逻辑电路的基本单元是门电路。组合逻辑电路的基本单元是门电路。时序逻辑电路的基本单元是触发器。时序逻辑电路的基本单元是触发器。 一、对触发器的基本要求一、对触发器的基本要求 1 1、具有两个能自行保持的稳态、具有两个能自行保持的稳态0 0状态状态和和1 1状态状态(0 0状态和

2、状态和1 1状态表征触发器的存储内容)状态表征触发器的存储内容) 2 2、能够、能够接收接收、保存保存和输出信号,即外加触发信号时,电路的和输出信号,即外加触发信号时,电路的输出状态可以翻转;在触发信号消失后,能将获得的新态保存输出状态可以翻转;在触发信号消失后,能将获得的新态保存下来。下来。 二、触发器的现态和次态二、触发器的现态和次态 现态现态Qn触发器接收输入信号之前的状态触发器接收输入信号之前的状态 次态次态Qn+1触发器接收输入信号之后的状态触发器接收输入信号之后的状态 (现态(现态Qn和次态和次态Qn+1的逻辑关系是研究触发器工作原理的基本的逻辑关系是研究触发器工作原理的基本问题)

3、问题)4从电路结构不同分从电路结构不同分1、基本触发器、基本触发器2、同步触发器、同步触发器3、边沿触发器、边沿触发器从逻辑功能不同分从逻辑功能不同分1、RS触发器触发器2、JK触发器触发器3、D触发器触发器4、T触发器触发器5 5、T触发器触发器 三、触发器的分类三、触发器的分类触发器触发器基本触发器基本触发器同步触发器同步触发器边沿触发器边沿触发器输入信号直接加到输入端,是触发器的基本输入信号直接加到输入端,是触发器的基本电路结构,是构成其他类型触发器的基础。电路结构,是构成其他类型触发器的基础。输入信号经过控制门输入,控制门受时钟信输入信号经过控制门输入,控制门受时钟信号号CP控制。控制

4、。只在时钟信号只在时钟信号CP的上升沿或下降沿时刻,输的上升沿或下降沿时刻,输入信号才能被接收。入信号才能被接收。54.1 4.1 基本触发器基本触发器4.1.1 用与非门组成的基本触发器用与非门组成的基本触发器信信号号输输入入端端低低电平有效电平有效一、电路组成和逻辑符号一、电路组成和逻辑符号用两个用两个与非门与非门交叉连交叉连接构成接构成电电路路组成组成逻逻辑辑符号符号有两个输有两个输出端,一出端,一个无小圆个无小圆圈,为圈,为Q端,一个端,一个有小圆圈,有小圆圈,为为Q端。端。两个互补的输出端两个互补的输出端1状态状态:Q1、 Q 0 0状态状态:Q0、 Q 16S RQ10011 00

5、R=0、S=1时:由于时:由于R=0,不论原来,不论原来Q为为0还是还是1,都有,都有Q=1;再由再由S=1、Q=1可得可得Q0。即不论触发器原来处于什么状态都。即不论触发器原来处于什么状态都将变成将变成0状态状态,这种情况称将触发器,这种情况称将触发器置置0或或复位复位。R端称为触发端称为触发器的置器的置0端或复位端。端或复位端。二、工作原理二、工作原理70110R=1、S=0时:由于时:由于S=0,不论原来,不论原来Q为为0还是还是1,都有,都有Q=1;再由再由R=1、Q=1可得可得Q0。即不论触发器原来处于什么状态都。即不论触发器原来处于什么状态都将变成将变成1状态状态,这种情况称将触发

6、器,这种情况称将触发器置置1或或置位置位。S端称为触发端称为触发器的置器的置1端或置位端。端或置位端。Q1 00S R0 118R=1、S=1时:根据与非门的逻辑功能不难推知,触发器保时:根据与非门的逻辑功能不难推知,触发器保持原有状态不变,即原来的状态被触发器存储起来,这体现了持原有状态不变,即原来的状态被触发器存储起来,这体现了触发器具有记忆能力。触发器具有记忆能力。11101101Q1 000 11S R1 1不变90011?R=0、S=0时:时:Q=Q=1,不符合触发器的逻辑关系。并且由,不符合触发器的逻辑关系。并且由于与非门延迟时间不可能完全相等,在两输入端的于与非门延迟时间不可能完

7、全相等,在两输入端的0同时撤除同时撤除后,将不能确定触发器是处于后,将不能确定触发器是处于1状态还是状态还是0状态。所以触发器不状态。所以触发器不允许出现这种情况,这就是允许出现这种情况,这就是基本基本RS触发器的约束条件触发器的约束条件。Q1 000 111 1不变S R0 0不定10Q = Q“保持保持”Q = 0Q = 10 态态“置置 0”或或“复位复位” (Reset)Q = 1Q = 01 态态“置置 1”或或“置位置位” (Set)Q和和Q 均为均为UHR 先撤消:先撤消:1 态态S 先撤消:先撤消:0 态态信号同时撤消:信号同时撤消:状态不定状态不定 ( (随机随机) )总结:

8、总结:1、2、3、4、11基本基本RS触发器的特性表触发器的特性表10 1 1触发器置010 1 010 0 1触发器保持原状态不变00 0 01 1 1触发器状态不定1 1 001 0 1触发器置101 0 0说明Qn1R S Qn12基本基本RS触发器的特性表触发器的特性表 电路中,输入信号是电路中,输入信号是R、S。当。当R0时时R1、当、当R1时时R0;当;当S0时时S1、当、当S1时时S0。因此基本。因此基本RS触发器的特性表又可以表示如下:触发器的特性表又可以表示如下:011100不用不用不用不用0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1Qn+

9、1R S Qn基本基本RS触发器的简化特性表触发器的简化特性表R SQn+1注注0 00 11 01 1Qn10不用不用保持保持置置1置置0不允许不允许13次态次态Qn+1的卡诺图的卡诺图特性方程特性方程触发器的特性方程就是触发器次态触发器的特性方程就是触发器次态Qn+1与输入及现态与输入及现态Qn之间的逻辑关系式之间的逻辑关系式 Qn0001111000011011RS 约束条件SRQn14状态图状态图描述触发器的状态转换关系及转换条件的图形称为状态图描述触发器的状态转换关系及转换条件的图形称为状态图011/1/10/01/当触发器处在当触发器处在0状态,即状态,即Qn=0时,若输入信号时,

10、若输入信号 01或或11,触发器仍为触发器仍为0状态状态;RS当触发器处在当触发器处在1状态,状态,即即Qn=1时,若输入信号时,若输入信号 10或或11,触发器仍为触发器仍为1状态状态;RS若若R S 10,触发器就会翻转成为触发器就会翻转成为1状态状态。若若R S 01,触发器就会翻转成为触发器就会翻转成为0状态状态。15波形图波形图 反映触发器输入信号取值和状态之间对应关系的图形称为反映触发器输入信号取值和状态之间对应关系的图形称为波形图波形图RSQQ置置1置置0置置1置置1置置1保持保持不不允允许许不定不定16RSQQ置置0置置1置置1保持保持不定不定保保持持不不允允许许不允许不允许不

11、不允允许许置置0保持保持当当R= =S=0=0的的信信号同时撤销号同时撤销当当R= =S=0=0的的信信号分时撤销号分时撤销当当R= =S=0=0的的信信号分时撤销号分时撤销 1、R=S=0是不允许的,这时是不允许的,这时Q端和端和Q端都为高电平,这是一端都为高电平,这是一种未定义的状态。种未定义的状态。 2、当当R=S=0的信号同时撤销时状态不定。的信号同时撤销时状态不定。 3、当当R=S=0的信号分时撤销时,状态决定于后撤销的信号。的信号分时撤销时,状态决定于后撤销的信号。17 用或非门组成的基本触发器用或非门组成的基本触发器输入信号输入信号R、S为为高电平有效高电平有效用两个用两个或非门

12、或非门交叉连交叉连接构成接构成电电路路组成组成两个互补的输出端两个互补的输出端1状态状态:Q1、 Q 0 0状态状态:Q0、 Q 118或非门组成的基本或非门组成的基本RS触发器的状态转换表触发器的状态转换表 R高电平高电平有效置有效置0S高电平有高电平有效置效置119基本基本RS触发器的特点:触发器的特点:主要优点主要优点(1 1)结构简单,仅由两个与非门或者或非门交叉连接构成。)结构简单,仅由两个与非门或者或非门交叉连接构成。(2 2)具有置)具有置0、置、置1 1和保持功能,其特性方程为和保持功能,其特性方程为存在问题存在问题(1 1)电电平平直直接接控控制制,即即由由输输入入信信号号直

13、直接接控控制制触触发发器器的的输输出出,电路抗干扰能力下降电路抗干扰能力下降(2 2)R、S之间存在约束,即两个输入不能同时为高电平。之间存在约束,即两个输入不能同时为高电平。 约束条件204.1.3 集成基本触发器集成基本触发器一、一、CMOS 集成基本触发器集成基本触发器1. 由与非门组成:由与非门组成:CC4044&1TGQ11内含内含 4 个个基本基本 RS 触发器触发器2. 由或非门组成:由或非门组成:CC4043( (略略) )+VDDS1R1S2R2S3R3S4R4ENQ1Q2Q3Q4347611121514513910 1Q1Q2Q3Q4816CC4044CC4044VSSS1

14、R1S2R2S3R3S4R4EN三态三态 RS 锁存触发器特性表锁存触发器特性表R S ENQ n+1 注注 0 Z 高阻态高阻态0 0 10 1 11 0 11 1 1Q n保保 持持 置置 1 置置 0不允许不允许10不用不用21二、二、TTL 集成基本触发器集成基本触发器74279、74LS279QR&SQR&S1S2+VCC1R1SA1SB2R2S3R3SA3SB4R4S1Q2Q3Q4Q12356101112141547913Q1Q2Q3Q4816742797427974LS27974LS279R1S11S12R2S2R3S31S32R4S4224.2 4.2 同步触发器同步触发器 在

15、数字系统中,如在数字系统中,如果要求果要求某些触发器在同一时刻动作,某些触发器在同一时刻动作,就必须给这些触发器引入就必须给这些触发器引入时间控制信号。时间控制信号。 时间控制信号时间控制信号也称也称同步信号同步信号,或,或时钟信号时钟信号,或,或时钟时钟脉冲脉冲,简称,简称时钟时钟,用,用CP (Clock Pulse) 表示。表示。 CP控制时序电路工作节奏的固定频率的脉冲信号,控制时序电路工作节奏的固定频率的脉冲信号,一般是矩形波。一般是矩形波。 具有时钟脉冲具有时钟脉冲CP控制的触发器称为控制的触发器称为同步触发器同步触发器,或,或时钟触发器时钟触发器,触发器状态的改变与时钟脉冲同步。

16、,触发器状态的改变与时钟脉冲同步。 同步触发器:同步触发器:同步同步 RS 触发器触发器同步同步 D 触发器触发器23一、电路组成及工作原理一、电路组成及工作原理1. 电路及逻辑符号电路及逻辑符号QG1R&SQG3R&SG2G4CPCP曾用符号曾用符号QQRSRS CPCP国标符号国标符号QQRSRS CPC14.2.1 同步同步RS触发器触发器控制门控制门只有只有CP=1时,时,G3、G4导通导通 与非门与非门G1、G2构成基本触发器,与非门构成基本触发器,与非门G3、G4是控制门,输入信号是控制门,输入信号R、S通过控制门进行通过控制门进行传送,传送,CP称为时钟脉冲,是输入控制信号。称为

17、时钟脉冲,是输入控制信号。时钟信号时钟信号时钟信号时钟信号24QG1R&SQG3R&SG2G4CPCP2. 工作原理工作原理 从右上图所示电路可以看出,从右上图所示电路可以看出,CP=0时控制门时控制门G3、G4被封锁,基被封锁,基本触发器保持原来状态不变。只有当本触发器保持原来状态不变。只有当CP1时时控制门被打开后,输控制门被打开后,输入信号才会被接收,而且工作情况与右下图所示的由与非门构成的入信号才会被接收,而且工作情况与右下图所示的由与非门构成的基本基本RSRS触发器电路没有什么区别。因此,可列出特性表如下。触发器电路没有什么区别。因此,可列出特性表如下。QG1R&SQG2不用1 1

18、1 1不允许不用1 1 1 001 1 0 1置001 1 0 011 0 1 1置111 0 1 011 0 0 1保持01 0 0 0保持Qn0 注Qn+1CP R S Qn25QG1R&SQG3R&SG2G4CPCP特征方程特征方程当当 CP = 0保持保持当当 CP = 1 对照由与非门构成的基本对照由与非门构成的基本 RS 触发器的逻辑功能也触发器的逻辑功能也可以得到上式的特征方程。可以得到上式的特征方程。 由特性表可列出特征方程如下。由特性表可列出特征方程如下。 从右图所示的电路也可以推从右图所示的电路也可以推导出特征方程。导出特征方程。约束条件约束条件CP = 1期间有效期间有效

19、26二、二、主要特点主要特点1. 时钟电平控制时钟电平控制 CP =1=1期间触发器接收输入信号;期间触发器接收输入信号;CP =0=0期间触发器保期间触发器保持状态不变。与基本持状态不变。与基本RS触发器相比,对触发器状态的触发器相比,对触发器状态的转变增加了时间控制。多个这样的触发器可以在同一个转变增加了时间控制。多个这样的触发器可以在同一个时钟脉冲控制下同步工作,这给用户的使用带来了方便时钟脉冲控制下同步工作,这给用户的使用带来了方便而且由于这种触发器只在而且由于这种触发器只在CP =1=1时工作,时工作,CP =0=0时被禁时被禁止所以其抗干扰能力也要比基本止所以其抗干扰能力也要比基本

20、RS触发器强得多。触发器强得多。2. RS 之间有约束之间有约束 同步同步RS触发器在使用过程中,如果违反了触发器在使用过程中,如果违反了RS0 0的约的约束条件,则可能出现下列四种情况:束条件,则可能出现下列四种情况: CP =1=1期间,若期间,若R=S=1,则将出现,则将出现Q端和端和Q端均为高端均为高电平的不正常情况。电平的不正常情况。 CP =1=1期间,若期间,若R、S分时撤销,则分时撤销,则触发器触发器的状态决的状态决定于后撤销者定于后撤销者。27 CP =1=1期间,若期间,若R、S同时同时从从1跳变到跳变到0 则会出现竞则会出现竞态现象,而竞争结果是不能预先确定的。态现象,而

21、竞争结果是不能预先确定的。 若若R=S=1时时CP突然撤销,即突然撤销,即从从1跳变到跳变到0,也会,也会出出现竞态现象,而竞争结果是不能预先确定的。现竞态现象,而竞争结果是不能预先确定的。RSQQCP不允许不允许不不允允许许不不允允许许28一、一、电路组成及工作原理电路组成及工作原理QG1R&SQG3R&SG2G4CPCP1D D(CP = 1期间有效期间有效)4.2.2 4.2.2 同步同步D触发器触发器 在同步在同步RS触发器的基础上,触发器的基础上,增加了反相器增加了反相器G5,通过它把,通过它把加在加在S端的端的D信号反相后送到信号反相后送到了了R端。如右图。端。如右图。简化电路:省

22、掉反相器。把简化电路:省掉反相器。把G3的输出送到的输出送到R端。端。G3的输出为的输出为SCPS1SDR291 1、时钟电平控制,无约束问题、时钟电平控制,无约束问题在在CP=1期间,若期间,若D=1,则则Qn+1=1;若若D=0,则则Qn+1=0,即根据输入信号即根据输入信号D取值不同,触发器取值不同,触发器既可以置既可以置1,也可以置也可以置0。由于电路是在同步由于电路是在同步RS触发器基础上经过改进触发器基础上经过改进得到的,所以约束问题不存在。得到的,所以约束问题不存在。2、 CP=1时跟随,下降沿到来时才锁存时跟随,下降沿到来时才锁存CP=1期间,输出端随输入端的变化而变化;期间,

23、输出端随输入端的变化而变化;只有只有当当CP脉冲下降沿到来时才锁存,锁存的内脉冲下降沿到来时才锁存,锁存的内容是容是CP下降沿瞬间下降沿瞬间D的值。的值。二、主要特点二、主要特点30三、集成同步三、集成同步 D 触发器触发器1. TTL:74LS375CPCPD DQG1QG3R&SG2G4111G5RS+VCC74LS37574LS3751D01LE1D12D02LE2D11Q01Q01Q11Q12Q02Q02Q12Q114791215236510111413Q1Q1Q2Q2Q3Q3Q4Q4D1CP1、2D2D3CP3、4D481631状状态态图图波波形形图图同步同步D D触发器的特性方程:

24、触发器的特性方程:010/1/D=1/0/CPDQQCP=1,Q跟随跟随D变变化;化;CP下下降沿锁存。降沿锁存。32边沿触发器是利用时钟脉冲的有效边沿(上升边沿触发器是利用时钟脉冲的有效边沿(上升沿或下降沿)将输入的变化反映在输出端,而在沿或下降沿)将输入的变化反映在输出端,而在CP=0及及CP=1不接收信号,输出不会误动作。不接收信号,输出不会误动作。 边沿触发器边沿触发器CP脉冲上升沿或下降沿进行脉冲上升沿或下降沿进行触发。触发。 正边沿触发器正边沿触发器CP脉冲上升沿触发。脉冲上升沿触发。 负边沿触发器负边沿触发器CP脉冲下降沿触发。脉冲下降沿触发。 边沿触发方式,可提高触发器工作的可

25、靠性,边沿触发方式,可提高触发器工作的可靠性,增强抗干扰能力。增强抗干扰能力。 4.3 4.3 边沿触发器边沿触发器334.3.1 边沿边沿 D 触发器触发器 一、电路组成一、电路组成QMQMCPRSQQS C1 R R S C1111D D从从从从主主主主QQCPC11D D D 二、工作原理二、工作原理CP=1期间,主被打开,主接收 跟随D( )此时从被封锁,Q不变。CP到来时,主被封锁, CP前夕接收的D被锁存,同时从被打开,从按主的内容更新(CP时刻有效)是是直接置直接置1端端, =0时,Q=1是是直接置直接置0端端,=0时,Q=0SD RD由两个同步D构成符号符号也叫异步输入端也叫异

26、步输入端34三 主要特点 1 CP=1期间,主接收跟随D( ),从(Q)不变。 CP到来时, CP前夕的D被主锁存,从按主的内容更新: ,抗干扰能力极强2 具有置0置1功能。3 无约束问题。 波形图QMQMQMCPRSQQS C1 R R S C1111D D从从从从主主主主35四、四、 集成边沿集成边沿D 触发器触发器(一一) CMOS 边沿边沿 D 触发器触发器 CC4013 (双双 D 触发器触发器)符号符号QQCPC11D D D 引出端引出端功能功能特性表特性表CP D RD SDQn+1注注 0 0 0 1 0 0 0 0 0 1 1 0 1 101Qn 10不用不用同步置同步置0

27、同步置同步置1保持保持( ( 无效无效) )异步置异步置1异步置异步置0不允许不允许CP 上升沿触发上升沿触发SD RDQ1 Q1Q2 Q2VSSVDD SD1 CP1 SD2 CP2 D1 RD1 D2 RD26 5 3 4 8 9 11 101 2 13 12147CC401336(二二) TTL 边沿边沿 D 触发器触发器 7474 (双双 D 触发器触发器)符号符号引出端引出端功能功能特性表特性表CP D RD SDQn+1注注 0 1 1 1 1 1 1 1 0 1 1 0 0 001Qn 01不用不用同步置同步置0同步置同步置1保持保持( ( 无效无效) )异步置异步置0异步置异步

28、置1不允许不允许QQCPC11D D D Q1 Q1VCC SD1 CP1 SD2 CP2 D1 RD1 D2 RD2Q2 Q2地4 2 3 1 10 12 11 135 6 9 8147 SDRDCP 上升沿触发上升沿触发7474374.3.2 边沿边沿 JK 触发器触发器一、电路组成及符号一、电路组成及符号二、工作原理二、工作原理QMQMCPRSQQS C1 R R S C1111D D11&J JK K冗余项冗余项国国标符符号号QQCPC11J IK J J K KCP 下降沿下降沿有效有效38三 主要特点 1 CP=1期间,主接收跟随J、K ( ),从(Q)不变。 CP到来时, CP前

29、夕的J、K被主锁存,从按主的内容更新: ,抗干扰能力极强2 具有置0置1、保持和翻转功能。3 J、K间无约束。 J K Qn CPQn+1注注 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 01001110保保 持持同步置同步置0同步置同步置1翻翻 转转JKFF特性表国国标符符号号QQCPC11J IK J J K K39四、波形图四、波形图设输出端设输出端初态为初态为 0QJ = K = 0保持保持J = K = 1翻转翻转J=0 K=1置0J=1 K=0置140五、五、 集成边沿集成边沿 JK 触发器触发器(一一) CMOS 边沿边沿 JK

30、 触发器触发器CC4027国国标符符号号QQCPC1 1J IK J J K KS RSD RDVss引出端功能引出端功能Q1 Q1VCC SD1 CP1 RD1 SD2 CP2 RD2 J1 K1 J2 K2Q2 Q27 6 3 5 4 9 10 13 11 121 2 15 14168 CC402741 J K Qn RD SD CPQn+1注注 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 0 0 01001110保保 持持同步置同步置0同步置同步置1翻翻 转转 0 0 0 1 0

31、0 01不不 变变 0 1 1 0 1 1 10不用不用异步置异步置1异步置异步置0不允许不允许CC4027特特 性性 表表42(二)(二)TTL 边沿边沿 JK 触发器触发器 CP 下降沿触发下降沿触发 异步复位端异步复位端 RD、异步置位端、异步置位端 SD 均为低电平有效均为低电平有效74LS112 ( (双双 JK 触发器触发器) )图略434.3.3 4.3.3 边沿触发器的功能分类、边沿触发器的功能分类、功能表示方法及转换功能表示方法及转换 一、边沿触发器逻辑功能分类一、边沿触发器逻辑功能分类 1 1、JK触发器触发器 凡具有保持、置凡具有保持、置1 1、置、置0 0、翻转功能的电

32、路都、翻转功能的电路都称为称为JK型时钟触发器,简称型时钟触发器,简称JK触发器。触发器。44CP下降沿下降沿(或上升沿或上升沿)有效有效特性表特性表特性方程特性方程45DQn+10011功能功能置0置1特性表特性表CP下降沿下降沿(或上升沿或上升沿)时刻有效时刻有效特性方程特性方程 2 2、D型触发器型触发器 凡具有置凡具有置1 1、置、置0 0功能的电路都称为功能的电路都称为D型时钟触发型时钟触发器,简称器,简称D型触发器或型触发器或D触发器。触发器。Q1DC1CPDQ(a)Q1DC1CPDQ(b)46 逻辑符号逻辑符号 特性表特性表 特性方程特性方程 凡具有保持、翻转功能的电路,即当凡具

33、有保持、翻转功能的电路,即当T=0是保持状态是保持状态不变,不变,T=1时翻转的电路,都称为时翻转的电路,都称为T型时钟触发器,简型时钟触发器,简称称T型触发器或型触发器或T触发器。触发器。3 3、T型触发器型触发器翻转101 01 1保持010 00 1注Qn+1T QnCP下降沿下降沿(或上升沿或上升沿)有效有效Q1TC1CPTQ47T触发器特性方程:触发器特性方程:与与JK触发器的特性方程比较,得:触发器的特性方程比较,得:JK触发器触发器T触发器触发器48D触发器触发器T触发器触发器49 特性表特性表 特性方程特性方程CP下降沿下降沿(或上升沿或上升沿)有效有效 3 3、T型触发器型触

34、发器 凡是每来一个时钟脉冲就翻转一次的电路,都称为凡是每来一个时钟脉冲就翻转一次的电路,都称为T型时钟触发器。型时钟触发器。 逻辑符号逻辑符号翻转100 1 注Qn+1Qn CP50与与JK触发器的特性方程比较,得:触发器的特性方程比较,得:JK触发器触发器T触发器触发器T触发器的特性方程:触发器的特性方程:变换变换T触发器的特性方程:触发器的特性方程:51D触发器触发器T触发器触发器52触发器五种逻辑功能的比较触发器五种逻辑功能的比较无约束,无约束,但功能少但功能少无约束,无约束,且功能强且功能强令令 J = K = T即可即可令令J = K = 1即可即可 D 功能功能1 0Qn+110D

35、Qn+1 = D T 功能功能 QnQnQn+110T RS 功能功能不定不定01 QnQn+111011000SRQn+1 = S + RQnRS = 0( (约束条件约束条件) ) JK 功能功能 Qn10 QnQn+111011000KJQn+1 = JQn + KQnT功能功能(计数功能计数功能) 只有只有 CP 输入端,输入端,无数据输入端。无数据输入端。来一个来一个CP翻转一次翻转一次Qn+1 = Qn53注注意意( (1) ) 弄清弄清时钟触发沿时钟触发沿是上升沿还是下降沿?是上升沿还是下降沿?( (2) )弄清有无异步输入端?弄清有无异步输入端?异步置异步置 0 端和异步端和异

36、步置置 1 端端是低电平有效还是高电平有效?是低电平有效还是高电平有效?( (4) ) 边沿触发器的逻辑功能和特性方程与同步边沿触发器的逻辑功能和特性方程与同步触发器的相同,但由于触发方式不一样,触发器的相同,但由于触发方式不一样,因此,它们的逻辑功能和特性方程成立的因此,它们的逻辑功能和特性方程成立的时间不同。时间不同。边沿触发器的逻辑功能和特性边沿触发器的逻辑功能和特性方程只在时钟的上升沿方程只在时钟的上升沿( (或下降沿或下降沿) )成立。成立。( (3) ) 异步端不受时钟异步端不受时钟 CP 控制控制,将直接实现置,将直接实现置 0 或置或置 1。触发器工作时,应保证异步端接非。触发

37、器工作时,应保证异步端接非有效电平。有效电平。54二二 边沿触发器逻辑功能表示方法边沿触发器逻辑功能表示方法1 1、特性表、卡诺图、特性方程特性表、卡诺图、特性方程表示方法:表示方法:特性表、特性表、卡诺图卡诺图、特性方程、特性方程、状态图状态图和时序图。和时序图。( (一一) ) 特性表特性表( (真值表真值表) )DQ n+1功能功能 0 0置置 0 1 1置置 1J KQ nQ n+1功能功能 0 0 0 001Q n保持保持 0 1 0 101 0置置 0 1 0 1 0011置置 1 1 1 1 101 Q n翻转翻转55( (二二) )卡诺图卡诺图D 触发器:触发器:JK 触发器:

38、触发器:10011100Qn+1Qn J K0100 01 11 10( (三三) )特性方程特性方程D 触发器:触发器:JK 触发器:触发器:1010Qn+1Qn D01 0 1562 2、状态图和时序图状态图和时序图( (一一) ) 状态图状态图D 触发器:触发器:01D = 0 D = 1 D = 1D = 0JK 触发器触发器:01J = 0 K = J = 1, K = J = K= 0 J = , K = 1 57( (二二) )时序图时序图D 触发器:触发器:特点:特点:表述了表述了CP 及输入信号和触发器状态之间及输入信号和触发器状态之间 在时间上的对应关系。在时间上的对应关系

39、。CP 上升上升沿触发沿触发JK 触发器:触发器:CP 下降下降沿触发沿触发58三三 边沿边沿 触发器逻辑功能表示方法间的转换触发器逻辑功能表示方法间的转换1 1、特性表特性表 卡诺图、状态图、特性方程和时序图卡诺图、状态图、特性方程和时序图Qn+1Qn J K0100 01 11 10J K Q n+1功能功能 0 0 Q n保持保持 0 0 10 0置置0 0 1 1 01 1置置1 1 1 1 Q n翻转翻转0100111001 0 /1 / 0 / 1 /( (一一) ) 特性表特性表 卡诺图、状态图卡诺图、状态图( (二二) ) 特性表特性表 特性方程特性方程( (三三) ) 特性表

40、特性表 时序图时序图(略略)592 2、状态图状态图 特性表、卡诺图、特性方程和时序图特性表、卡诺图、特性方程和时序图01 0 /1 / 0 / 1 / 00/ 01/10 /11 /00/ 10/ 01 /11 /J KQ nQ n+1Qn+1Qn JK01 00 01 11 100 00 0010101 0 1 0 1 0 1 0000 1 0 1 0011111 1 1 1 101101060状态图状态图 时序图时序图 例例 已知已知 CP、J、K 波形,波形,画输出波形。画输出波形。 假设假设JK初始状态为初始状态为 0,CP触发触发。CPJK01 00/ 01/10 /11 /01

41、/11 /00/ 10/ 1001110000Q01001161触发器和门电路是构成数字系统的基本逻辑单元。触发器和门电路是构成数字系统的基本逻辑单元。前者具有记忆功能,用于构成时序逻辑电路;前者具有记忆功能,用于构成时序逻辑电路;后者没有记忆功能,用于构成组合逻辑电路。后者没有记忆功能,用于构成组合逻辑电路。本章小结本章小结触发器有两个基本特性:触发器有两个基本特性:有两个稳定状态;有两个稳定状态;在外信号作用下,两个稳定状态可相互转换,在外信号作用下,两个稳定状态可相互转换,没有外信号作用时,保持原状态不变。因此,没有外信号作用时,保持原状态不变。因此,触发器具有记忆功能,常用来保存二进制

42、信息。触发器具有记忆功能,常用来保存二进制信息。一个触发器可存储一个触发器可存储 1 位二进制码,存储位二进制码,存储 n 位二进制码则需用位二进制码则需用 n 个触发器。个触发器。62触触发发器器的的逻逻辑辑功功能能是是指指触触发发器器的的次次态态与与现现态态及及输输入入信信号号之之间间的的逻逻辑辑关关系系。其其描描述述方方法法主主要要有有特特性性表表、特特性性方方程程、驱驱动动表表、状状态态转转换换图图和和波波形图形图( (又称时序图又称时序图) )等。等。 触发器根据逻辑功能不同分为触发器根据逻辑功能不同分为 D 触发器触发器 T 触发器触发器 RS 触发器触发器 JK 触发器触发器 T

43、触发器触发器1 0Qn+110DQn+1 = DQnQnQn+110T不定不定01 QnQn+111011000SRQn+1 = S + RQnRS = 0( (约束条件约束条件) )Qn10 QnQn+111011000KJQn+1 =JQn + KQn只有只有 CP 输入端,输入端,无数据输入端。无数据输入端。来一个来一个CP翻转一次翻转一次Qn+1 = Qn63作业题作业题P274 题题4.4 题题 64一、填空题一、填空题 1、按照电路结构和工作特点的不同,将触发器分成、按照电路结构和工作特点的不同,将触发器分成( )、( )和和( )。 2、由与非门构成的基本、由与非门构成的基本RS

44、触发器的特征方程为:触发器的特征方程为:( );约束条件为:;约束条件为:( )。 3、填写下表所示的、填写下表所示的RS触发器特性表中的触发器特性表中的Qn+1。 二、选择题二、选择题 1、已知、已知R、S是或非门构成的基本是或非门构成的基本RS触发器触发器输入端,则约束条件为输入端,则约束条件为( )。 RS0 R+S1 RS1 R+S0 2、有、有1个与非门构成的基本个与非门构成的基本RS触发器,欲使触发器,欲使Qn+1Qn,则输入信号应为,则输入信号应为( )。 S0,R1 SR1 S1,R0 SR00 00 11 01 1Qn+1R S65一、填空题一、填空题 1、按照电路结构和工作

45、特点的不同,将触发器分成、按照电路结构和工作特点的不同,将触发器分成(基本基本触发器触发器)、(同步触发器同步触发器)和和(边沿触发器边沿触发器)。 2、由与非门构成的基本、由与非门构成的基本RS触发器的特征方程为:触发器的特征方程为:( );约束条件为:;约束条件为:(RS=0)。 3、填写下表所示的填写下表所示的RS触发器特性表中的触发器特性表中的Qn+1。二、选择题二、选择题 1、已知、已知R、S是或非门构成的基本是或非门构成的基本RS触发器触发器输入端,则约束条件为输入端,则约束条件为()。 RS0 R+S1 RS1 R+S0 2、有有1个与非门构成的基本个与非门构成的基本RS触发器,

46、欲使触发器,欲使Qn+1Qn,则输入信号应为则输入信号应为()。 S0,R1 SR1 S1,R0 SR0Qn10不用不用0 00 11 01 1Qn+1R S66 一、填空题一、填空题 1 1、同步、同步RS触发器:触发器:CP0时输出端时输出端Q和和Q的状态的状态( ( ) );CP1时时RS变化将引起触发器输出端变化将引起触发器输出端Q和和Q的状态的状态( ( ) ) 。 2 2、同步、同步D触发器的特性方程是触发器的特性方程是( ( ) ) 。 二、选择题二、选择题 1 1、没有约束条件的触发器是、没有约束条件的触发器是( ( ) )。 基本基本RS触发器触发器 同步同步D触发器触发器

47、同步同步RS触发器触发器 2 2、若将、若将D触发器的触发器的D端连接到端连接到Q端上,经过端上,经过100100个脉个脉冲后,它的次态冲后,它的次态Q(t+100)=0,则现态则现态Q(t)应为应为( ( ) )。 Q(t)0 Q(t)1 与原态无关与原态无关67一、填空题一、填空题 1 1、同步、同步RS触发器:触发器:CP0时输出端时输出端Q和和Q的状态的状态( (保持不变保持不变) );CP1时时RS变化将引起触发器输出端变化将引起触发器输出端Q和和Q的状态的状态( (变化变化) ) 。 2 2、同步同步D触发器的特性方程是触发器的特性方程是( ( ) ) 。二、选择题二、选择题 1

48、1、没有约束条件的触发器是、没有约束条件的触发器是( () )。 基本基本RS触发器触发器 同步同步D触发器触发器 同步同步RS触发器触发器 2 2、若将、若将D触发器的触发器的D端连接到端连接到Q端上,经过端上,经过100100个脉个脉冲后,它的次态冲后,它的次态Q(t+100)=0,则现态则现态Q(t)应为应为( () )。 Q(t)0 Q(t)1 与原态无关与原态无关68一、填空题一、填空题 1 1、边沿触发器具有共同的动作特点,即触发器的次态仅取、边沿触发器具有共同的动作特点,即触发器的次态仅取决于决于CP信号的信号的( ( ) )或或( ( ) )到达时输入的逻辑状态,到达时输入的逻

49、辑状态,而在这时刻之前或之后,输入信号的变化对触发器输出的状而在这时刻之前或之后,输入信号的变化对触发器输出的状态没有影响。态没有影响。 2 2、边沿、边沿JK触发器的特性方程为触发器的特性方程为( ) ( ) 。 3、触发器逻辑功能的表示方法有触发器逻辑功能的表示方法有( ( ) )、( ( ) )、 ( ( ) )、( ( ) )和和( )5( )5种种。 二、选择题二、选择题 1、JK触发器欲在触发器欲在CP作用后保持原状态,则作用后保持原状态,则JK的值是的值是( ( ) )。 JK11 JK10 JK01 JK00 2、 P255图所示边沿图所示边沿JK触发器是在触发器是在CP的的(

50、 ( ) )触发的。触发的。 上升沿上升沿 下降沿下降沿 高电平高电平 低电平低电平69 一、填空题一、填空题 1 1、边沿触发器具有共同的动作特点,即触发器的次态仅取、边沿触发器具有共同的动作特点,即触发器的次态仅取决于决于CP信号的信号的( (上升沿上升沿) )或或( (下降沿下降沿) )到达时输入的逻辑状态,到达时输入的逻辑状态,而在这时刻之前或之后,输入信号的变化对触发器输出的状而在这时刻之前或之后,输入信号的变化对触发器输出的状态没有影响。态没有影响。 2 2、边沿、边沿JK触发器的特性方程为触发器的特性方程为( ) ( ) 。 3 3、触发器逻辑功能的表示方法有、触发器逻辑功能的表

51、示方法有( (特性表特性表) )、( (卡诺图卡诺图) )、 ( (特性方程特性方程) )、( (状态图状态图) )和和( (时序图时序图) )5种种。 二、选择题二、选择题 1、JK触发器欲在触发器欲在CP作用后保持原状态,则作用后保持原状态,则JK的值是的值是( () )。 JK11 JK10 JK01 JK00 2、P255图所示边沿图所示边沿JK触发器是在触发器是在CP的的( () )触发的。触发的。 上升沿上升沿 下降沿下降沿 高电平高电平 低电平低电平70Q1Q1CPDC11D(a)(b)SC1R1DCPQ2Q2解:解:11设触发器初态为设触发器初态为 0,试对应输入波形画出,试对

52、应输入波形画出 Q1、Q2 的波形。的波形。DCPQ1Q2D 触发器特性方程为触发器特性方程为 Qn+1 = D功能是翻转功能是翻转因此因此C110触发器初态为触发器初态为 0C1该电路的功能是:该电路的功能是:在时钟触发沿到在时钟触发沿到达时状态发生翻转,这种功能称为计数达时状态发生翻转,这种功能称为计数功能,功能,相应触发器称为计数触发器。相应触发器称为计数触发器。练习:练习:71 JCPKT解:解:22设触发器初态为设触发器初态为 1,试对应输入波形画出,试对应输入波形画出 Q1、Q2 的波形。的波形。触发器初态为触发器初态为 1Q1Q1CPJC11J(a)(b)SC1R1KCPQ2Q2K1K1JTVCCC111001001SVCCRC1CP1JT1K1010CP 之前之前 J、K最后取值为最后取值为 1CP 之前之前 J、K最后取值为最后取值为 0触发器初态为触发器初态为 1Q1Q2T = 0 时,时,Qn+1 = Qn ;T = 1 时,时,Qn+1 = Qn 。这种功能称这种功能称 T 功能,相应触发器称功能,相应触发器称 T 触发器。触发器。72

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号