CMP Process Introduction

上传人:cn****1 文档编号:578366830 上传时间:2024-08-24 格式:PPT 页数:83 大小:4.96MB
返回 下载 相关 举报
CMP Process Introduction_第1页
第1页 / 共83页
CMP Process Introduction_第2页
第2页 / 共83页
CMP Process Introduction_第3页
第3页 / 共83页
CMP Process Introduction_第4页
第4页 / 共83页
CMP Process Introduction_第5页
第5页 / 共83页
点击查看更多>>
资源描述

《CMP Process Introduction》由会员分享,可在线阅读,更多相关《CMP Process Introduction(83页珍藏版)》请在金锄头文库上搜索。

1、CMP Process Introduction2OutlineqCMP OverviewqAMAT Tool, Mirra-MesaqCMP ConsumableSlurryPolish PadDiamond DiskqCMP ProcessSTI, ILD and IMD CMPPoly CMPTungsten CMPCopper CMPCMP Overview4What is CMPqChemical Mechanical PolishingqGlobal planarization techniqueqMajor ApplicationDielectric PlanarizationP

2、oly PlanarizationMetal PolishingW PlugAl FilmCu Damascene5BPSG Pre-Metal Dielectric ReflowAs deposited After reflow6Planarization Efficiency0.11.010100100010000CMPResist Etch BackBPSG ReflowSpin on Glass (SOG)CVD, Dep/Etch (HDP)HDP, ECR(Gap fill)(Local)(Global)Planarization Distance (m mm)7Manufactu

3、ring Complexities with Unplanarized Logic StructuresSource: Semicon/West 1994Metal 3Metal 2Metal 1Metal StringersLithographDepth of FocusLow Performance - long mean free path lengthMetal EtchDepth Variance8Advantages Of CMPLarge Depth-of-Focus ReqdLarge Thickness Etch ReqdPoor Step CoverageUniform a

4、nd Planar Surface Higher PerformanceImproved Die Yields and Device ReliabilitySimplified Lithography0.8 mm0.5 mm0.35 mm2 - 3 Metal Levels3 Metal LevelsWithout CMPWith CMPCMP is Enabling Technology for Advanced Semiconductor DevicesLithography Depth-of-FocusMetal StringersMetal 3Metal 2Metal 1Note: S

5、. Nag et al., Texas Instruments, VMIC Proceedings 1995, p.24-30 (sem on right)9The Evolution of Oxide Planarization19920.50 mm P5 Logic0.50m(16Mb)19950.35 mm P6 Logic0.35m(64Mb)19980.25 mm P7 Logic0.25m(256Mb)1999-20000.18 mm P8 Logic0.18m(1Gb)19890.80 mm P4 Logic0.8m(4Mb)Production StartDesign Rule

6、DeviceResist EBCMPSOGReflowDep/EtchLow k CMP10The Evolution of Metal Polishing19920.50 mm P5 Logic0.50m(16Mb)19950.35 mm P6 Logic0.35m(64Mb)19980.25 mm P7 Logic0.25m(256Mb)1999-20000.18 mm P8 Logic0.18m(1Gb)19890.80 mm P4 Logic0.8m(4Mb)Production StartDesign RuleDeviceCVD W / EBAl ReflowW Plug CMPCu

7、 Damascene11CMP Market Size by Application$0$500$1,000$1,500$2,000$2,500$3,00019961997199819992000E2001F2002F2003F2004F2005FCalendar YearSystems Revenue, $MCuAlWPolySTIPMDILDCopper grows to nearly 30%Sources: Applied CMPDataquest, VLSI Research, Business Wire, Morgan Stanley DW, Salomon Smith Barney

8、, Kline Associates12Sources:Applied CMPDataquestVLSI ResearchMSDWBusiness Wire*CMP only (w/o Standalone Post CMP Clean)Includes 300mm delayed revenue recognition$0$500$1,000$1,500$2,000$2,500$3,000$3,50019961997199819992000E2001F2002F2003F2004F2005FCalendar YearSystem Revenues, $MCMP RevenuesIntegra

9、tedStandalone$316$627$1,087$1,458$1,139$1,491$2,847$523$2,1712x growth for CMP to CY2005$2,061CMP Market Opportunity *CMP Overview Tools14CMP is Chemical Mechanical material removal for wafer PlanarizationWhat is CMP?Macro ViewplatenrotationMicro ViewCarrier Filmpolishing padHard PadSoft PadWaferCar

10、rierPolishingPlaten (table)Slurry15What is CMP?Schematic diagram of the dielectric planarization processUrethane Polishing PadChemistry and abrasion is used to planarize the wafer surfaceTravelDownforceWaferSlurry ParticlesAMAT CMP ToolAMAT CMP ToolMirra-MesaMirra-Mesa17Mechanical Parameters that Af

11、fect the CMP Processu Platen RotationNote: Sweep positions are referenced from center of platen to center of headu Slurry Delivery Rate(ml/min)u Polishing Head4 Sweep4 Pressure4 Rotationu Platen Padu Pad Conditioner4 Sweep4 Down Force4 Rotation18ISRM Hardware ImplementationTop ViewSide ViewNote: not

12、 to scalePlatenWaferLaser interferometer embedded in platenData collected once per platen revolution by PC controllerData collection frequency is independent of platen rotation speedPlatenlaserPolishing PadWaferDETECTOR19AMAT CMP Familyq200 mmMirraMirraTrackMirraDNSMirraMesaObsidianq300 mmReflection

13、20Mirra PolisherPad Conditioner (In-situ, Ex-situ)Load/unload station4 cassette input/outputInterplaten CleaningMultiple Slurry deliveryOxide/Metal polish compatible4 Polishing Heads(Independently Controlled)3 Polishing Platens (Independently Controlled)21Process FlexibilityThree Independent Polishi

14、ng StationsqMulti-Step Polish Capability3-Step (Polish on all platens)2-step (Polish/ barrier removal with buff)qInline (Sequential Polish) ModeSequential Polish for Highest throughput modeBatch Mode for process developmentOxideOxideOxideOxideOxideBuffW BuffTiN/Ti W TiN/Ti BuffOxideNitrideBuffSTIOxi

15、deMetalW22Mirra Mesa 200mm CMPnMesa Cleaner with Single Wafer Megasonics Lowest DefectsnTitan Profiler Polishing HeadProduction-Proven Edge Exclusion for Increased Die YieldnFullscan Endpoint System Reduced Need for OverpolishniScan Thickness Monitor for CuMaximum ThroughputnIn-line Metrology with W

16、TW Closed Loop Control Maximizes Productivity and ControlProduction-Proven Solution for All Applications Over 1, 000 Mirra Systems ShippednMulti-Step, Multi-Platen Process Solution Highest Productivity23qFootprint 76ft2(79W x 138D x 91H)q2nd robot & rinse pads added to EPO-22X series to boost throug

17、hputSTRENGTHS:qRelatively low priceqReputation for reliabilityWEAKNESSES:qMax throughput 40wph (2 min polish w/rinse)qThroughput w/serial buff 400 ft/min. Hydroplaning can occur, which cuts removal rate and changes removal profileSpindle Drive AssemblyDial PlateBelt Roller AssemblyAir Bearing Pressu

18、reRegulator CabinetBelt Tension & Tracking MechanismAir Bearing PlatenCarrier / Polish Head27Nikon NPS2301 Polish Modulen Slurry flow 50-100 ml/min with through the pad deliveryn Pad rotates 800 rpm, wafer carrier Inherent Selectivity!30SEM Cross Sections of Fixed Abrasive PadsRe: D.P. Goetz, NCC-AV

19、S CMP Users Group 9/2/98Oxide3M SWR97 Pad FormatSWR118 SimilarSTI3M SWR159 Pad FormatPosts40-45m Tall200m Dia3031Fixed AbrasivesEnablingFresh10 wafers25 wafers45 wafers60 wafersResin/AbrasiveWearFA FilmSubpad32Fixed Abrasive vs. SlurryOxide to Planarization - Power Fits, Fixed Abrasive1000micron fea

20、ture, recipes averaged0200040006000800010000120000100020003000400050006000700080009000oxide field removed (A)planarization TIR (A)Conventional Slurry ProcessFixed AbrasiveProcess33Slurryless Polishing Advantages for STI ProcessReduced trench oxide dishing and uniform nitride thicknessConventional ST

21、ISlurry-Free PolishingResilientLayerRigidLayerMicro-replicatedAbrasiveLayerDishing in 0.25um Trenches34CMP ConsumableqSlurry qPadqDiamond disk35Important Slurry PropertiesqAbrasivessize DistributionshapehardnessqAbrasive loadingqChemistryqViscosity36CMP Slurry37Hardness of Various Abrasives38Pad Str

22、ucture Polymeric TypeRodel Information39CMP Pad - Rodel Windows pad40CMP Diamond diskCMP ProcessCMP ProcessOverviewOverview42The complexity of CMP process variablesThe complexity of CMP process variables “People dont want to heat it but it might be best to use chaos theory to describe whats going on

23、 in Chemical-Mechanical Polishing.”-Lee Cook (Rodel)43CMP Process Parameter44CMP ProcessqDielectric (Oxide) CMPSTI* PolyILD (PMD)IMDqMetal CMPWCu Cu/L-k45STI Process Flow-Condensed VersionComplete Flow has 25-40 StepsNitrideSiliconSiliconPad Oxide UndercutNitrideSiliconSiliconO2+HCl OxidationSilicon

24、SiliconDirect-Polish CMP orReverse Mask + Etch + CMPSiliconSiliconNitride Strip - H3PO4NitrideSiliconSiliconTrench Oxide FillTrench EtchNitrideResistSiliconPadOxideCMP Is One of Last Few Steps in STI Process Integration4546ILD CMPTungsten CMP47IMD CMP48Damascene Process49Dual Damascene Process50CMP

25、Procedure6 level metal logic deviceqNon Cu TechnologySTIGate PolyPMDW Plug 1 IMD 15W Plug 26qTotal 14 CMP stepqCu TechnologySTIGate PolyPMDW Plug 1Cu/(l-k) 16qTotal 10 CMP stepCMP ProcessCMP ProcessOxide CMPOxide CMPSTISTI52STI - Planarization RequirementsnUniformity across die and wafer criticalnNe

26、ed to minimize dishing of field oxide and nitride erosion53Si3N4SiO2Etch-backCMPReverse Mask Etch-backSiSi3N4SiO2CMP slurry(CeO2 / additive)Process using Advanced SlurrySiHigh global planarizationHigh global planarizationSTI CMP Process ComparisonINFORMATION PRESENTED WITH SUPPLIER PERMISSION54Why D

27、irect STI CMP?Conventional STI CMP:Direct STI CMP (Applied Materials):6-10 Reverse Mask steps (tools) cost an extra $25/waferPotential for $25/wafer Savings by Eliminating Reverse Mask StepsCMP ProcessCMP ProcessMetal CMPMetal CMP56DishingOxideCuWide Lines(10m)Dense Arrays(50% Pattern Density)OxideC

28、uErosionCuOxideCuTotal Cu LossOriginal Oxide/Cu levelDishing, Erosion & Cu/Ox loss57W RemovalW TiN/Ti RemovalTiNTiNTiOxide Polish/Buff Tungsten Plug FormationNo Oxide Buff stepPlug recessWith Oxide buffPlug protrusionPlug morphology can be tailored to meet integration needsW CMP Process Sequence58Du

29、al Damascene Process Sequence Oxide Thk = Stud heightSiN etch stopPhoto-Via PatternEtch stop etch - Via PatternPhotoresist strip214356Oxide deposition(Thk=Interconnect)SubstrateSiNMetal StackPhotoresistOxide59Comparing Cu CMP ApproachesNon-Selective versus SelectiveSelective ApproachnPlanarize Cu wi

30、th high selectivity slurry; stop on barriernClear barrier with barrier slurry (selective to Cu)nBuff with oxide slurry for low defectivityNon-Selective ApproachnPlanarize Cu with high selectivity slurry at high removal ratenClear remaining Cu and barrier with non-selective slurrynBuff with oxide slu

31、rry for low defectivityPre-Polish OxidePost-Polish OxidePost-Polish Cu60Customer Needs for Copper CMP ProcessqLow Dishing and ErosionqProcess Control - EndpointqDefect ReductionPost CMP Clean SolutionCorrosion ControlqElectrical ResultsqHigh ProductivityqCost of Ownership61SummaryqConsumable is very

32、 important for CMP process.qThere are lot of variation parameter of process. qCMP technical gap not higher than CVD/PVD/Etch.qCMP consumable is general material and machining.qCMP process still had a lot of room for new idea.62Why Reduce Slurry Consumption?Slurry Cost can be Over 1/3 of Total CoC fo

33、r Oxide CMP Oxide CMP Cost of ConsumablesW CMP Technology IntroductionW CMP Technology Introduction64086465 W CMP Technology IntroductionqRequirements for Tungsten chemical mechanical polishing (WCMP) are more important.qW CMP requirements includeLower oxide erosionHigher throughput (higher polish r

34、ate & lower polishing step)Lower defectivity (Lower defect density) & easy to cleanHigher purity & performance consistencyEase of use (good colloidal and chemical stabilities)qImprovement goalReduction of oxide erosion & surface roughness (defectivity)Enhancement of W/Ti/TiN polish ratesPossible eli

35、mination of an oxide buffing step in W CMP process65086465 qThe advantages of W CMP instead of W etch-back (RIE) includeWider process windowLower defectivity (Lower defect density)Improved device yieldLess plug recessqAdvantages of W CMP are likely to become more significant as minimum device featur

36、e size continues to shrinkqW CMP control wafer preparationBlanket W wafers consist of 6k W /1k TiN /400A Ti film stack over PE-TEOS filmTi polish rate was determined with blanket wafers of 2500A Ti deposited over PE-TEOS filmW CMP Technology Introduction66086465 Oxide erosion data was collected on p

37、atterned wafer test structures which consisted of a 2.5 mm x 4 mm array of tungsten plugs (0.5 um width and 1 um pitch)The patterned wafer deposited stack consisted to W/TiN/Ti deposited over PE-TEOS qW CMP measurement toolsKLA-Tencor auto RS-75: Pre- and post- polished metal film thickness (W & Ti)

38、 measurementNanoSpec 8000X (Therma-wave OP-3290I): oxide film thickness measurementKLA-Tencor P-240: oxide erosion measurementDigital Dimension 5000 Scanning Probe Microscope (AFM): Plug recess & defectivity checkW CMP Technology Introduction67086465 Oxide Film(PE-TEOS)OxideOxide erosionW PlugOxide

39、Film(PE-TEOS)W Film before CMPW Film before CMPdishingOxideFig. 1 Definition of dishing and erosionq Feature size and pattern density effects on W CMP performance Dishing increases at larger W feature sizeW CMP Technology Introduction68q Surface passivation model for tungsten CMPW + 6Fe(CN) 63- + 3H

40、2O - WO3 + 6Fe(CN) 64- + 6H+Ferricyanide oxidizing agent: 6Fe(CN) 63- WO3 is softer than W and therefore abrades more readilyqMechanism of W CMPOxideWO3WOxideWO3 removedW(1)(2)OxidePlanarization by repeating cycles (1) & (2)The chemicals in the slurry passivate the metal surface by converting tungst

41、en to tungsten oxide. The passivating action assists removal of the high features because the oxide is soften than tungsten. W CMP Technology Introduction69qEndpoint Application on WCMPW CMP Technology Introduction70W CMP Technology Introduction71qRecess of tungsten could be solved by add oxide buff

42、ing step.(a): AFI picture of W plug without oxide buffing(a): AFI picture of W plug with oxide buffingW CMP Technology Introduction72qTechnology Roadmap for Tungsten CMP50% reduction from 0.18mm requiredno residuesnLow defectivity & microscratchingnNo plug protrusion (no oxide buff)nEliminate residu

43、es in alignment and overlay marksnImproved ProductivityHigh throughput Pad Life between pad changesLow CoC7/11/997374Improving Erosion Performance for 0.13mm Tungsten CMPqSolo pad (no sub-pad) for over-polish step on final platenUse standard stacked pad for bulk polish for improved removal uniformit

44、yEliminate oxide buffqEndpoint at position on wafer where Tungsten first clearsqVery low pressure (1psi) for over-polishqDilute slurry (1:1 dilution)Low erosion in 0.18mm contact array75Mirra Advanced Tungsten CMPfor Reduced ErosionPlaten 2W + Barrier polish with IC1010 pad & FullScan EndpointErosio

45、n ReductionnSequential process with solo pad over-polishnFullScan endpoint system for “spot” endpointnLow pressure (1psi) overpolish with Titan HeadnDilute slurry processPlaten 1W Polish with IC1010 padPlaten 3Over-polish with IC1020 (solo) pad for low erosion 76SOFTLANDINGBULKPOLISHOVERPOLISHMirra

46、Tungsten CMP Standard Endpoint Algorithm77Tungsten CMP Endpoint and OverpolishEffect on ErosionW FilmWaferOverpolish Required to Eliminate Residues“Spot” location endpoint required to minimize erosionCleared Wafer Regions:Erosion during Over-polishOptimum Endpoint Location on Wafer Radius78FullScan

47、Endpoint for Erosion Control Full Scan DatanOptimal radial endpoint location can be selectednErosion is minimized Laser beamscanwaferHeadsweepHeadrotationSingle Trace Data0100200300400500Endpoint Trace at Identified Radius79New process reduces erosion by 70%!Average of 4 dieSematech mask0.5um x 1um

48、arraySematech Mask4 x 3mm array0.5um, 50% densityqErosion improvement with sequential IC1010 / IC1020 Process80q Low Defects without Oxide BuffPlaten 2W + Barrier polish with IC1010 padDefect Reduction TechniquesnIC1010 pads provides wider & deeper grooveseasier to clean out residues reducing defect

49、snLow pressure (1psi) overpolish with Titan Headn2 Step rinse (pad + wafer) on platen 3 after over-polishnDilute slurry processPlaten 1W Polish with IC1010 padPlaten 3Over-polish with IC10202 Step Rinse with DIW 81Optimized DIW Rinse (IC Pad) and Megasonics Clean Minimize DefectsqControlling defects without a buff DOE on Mirra-Mesa82qMacro-scratchW CMP Technology Introduction83W CMP Technology IntroductionqMicro-scratch

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业/管理/HR > 商业计划书

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号