FPGA原理及应用-VHDL设计初步

上传人:大米 文档编号:577115080 上传时间:2024-08-21 格式:PPT 页数:130 大小:960.50KB
返回 下载 相关 举报
FPGA原理及应用-VHDL设计初步_第1页
第1页 / 共130页
FPGA原理及应用-VHDL设计初步_第2页
第2页 / 共130页
FPGA原理及应用-VHDL设计初步_第3页
第3页 / 共130页
FPGA原理及应用-VHDL设计初步_第4页
第4页 / 共130页
FPGA原理及应用-VHDL设计初步_第5页
第5页 / 共130页
点击查看更多>>
资源描述

《FPGA原理及应用-VHDL设计初步》由会员分享,可在线阅读,更多相关《FPGA原理及应用-VHDL设计初步(130页珍藏版)》请在金锄头文库上搜索。

1、FPGA 原理及应用原理及应用第第 4 4 章章 VHDL设计初步设计初步n原理图输入与 VHDL文本输入设计的区别nGraphic is what you draw is what you getn“ tell me what hardware you want and I will give it to you”nVHDL is what you write is what functional you getn“ tell me how your circuit should behave and the VHDL compiler will give you the hardware

2、that does the job”n but the designer can not control how the circuit implement是什么是VHDL? Very high speed integrated Hardware Description Language (VHDL)n是IEEE、工业标准硬件描述语言n用语言的方式而非图形等方式描述硬件电路n容易修改n容易保存n特别适合于设计的电路有:n复杂组合逻辑电路,如: n译码器、编码器、加减法器、多路选择器、地址译码器.n状态机n等等.VHDL的功能和标准的功能和标准n VHDL 描述n输入端口n输出端口n电路的行为和

3、功能nVHDL有过两个标准:nIEEE Std 1076-1987 (called VHDL 1987)nIEEE Std 1076-1993 (called VHDL 1993)VHDL Synthesis vs. other HDLs SynthesisnVHDL: “tell me how your circuit should behave and I will give you hardware that does the job”nABEL, PALASM, AHDL:n“tell me what hardware you want and I will give it to yo

4、u”Why using VHDL instead of GraphicnEasy to ModifynIt is more powerful than GraphicnVHDL is a portable language becausenis device independentnthe same code can be applied to Device manufactured by Company A or Company B 4.1 概述概述4.1.1 常用硬件描述语言简介常用硬件描述语言简介 常用硬件描述语言有VHDL、Verilog和ABEL语言。VHDL起源于美国国防部的VHS

5、IC,Verilog起源于集成电路的设计,ABEL则来源于可编程逻辑器件的设计。下面从使用方面将三者进行对比。 (1) 逻辑描述层次:一般的硬件描述语言可以在三个层次上进行电路描述,其层次由高到低依次可分为行为级、RTL级和门电路级。VHDL语言是一种高级描述语言,适用于行为级和RTL级的描述,最适于描述电路的行为;Verilog语言和ABEL语言是一种较低级的描述语言,适用于RTL级和门电路级的描述,最适于描述门级电路。 4.1 概述概述4.1.1 常用硬件描述语言简介常用硬件描述语言简介 (2) 设计要求:VHDL进行电子系统设计时可以不了解电路的结构细节,设计者所做的工作较少;Veril

6、og和ABEL语言进行电子系统设计时需了解电路的结构细节,设计者需做大量的工作。 (3) 综合过程:任何一种语言源程序,最终都要转换成门电路级才能被布线器或适配器所接受。因此,VHDL语言源程序的综合通常要经过行为级RTL级门电路级的转化,VHDL几乎不能直接控制门电路的生成。而Verilog语言和ABEL语言源程序的综合过程要稍简单,即经过RTL级门电路级的转化,易于控制电路资源。 4.1 概述概述4.1.1 常用硬件描述语言简介常用硬件描述语言简介 (4) 对综合器的要求:VHDL描述语言层次较高,不易控制底层电路,因而对综合器的性能要求较高,Verilog和ABEL对综合器的性能要求较低

7、。 (5) 支持的EDA工具:支持VHDL和Verilog的EDA工具很多,但支持ABEL的综合器仅仅Dataio一家。 (6) 国际化程度:VHDL和Verilog已成为IEEE标准,而ABEL正朝国际化标准努力。4.1 概述概述4.1.2 VHDL的优点的优点 VHDL的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982年。1987年底, IEEE公布了VHDL的标准版本(IEEE-1076) 。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容

8、,公布了新版本的VHDL,即IEEE标准的1076-1993版本。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL与Verilog语言将承担起几乎全部的数字系统设计任务。 4.1 概述概述4.1.2 VHDL的优点的优点 (1) VHDL具有更强的行为描述能力。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。就目前流行的EDA工具和VHDL综合器而言,将基于抽象的行为描述风格的VHDL程序综合成为具体的FPGA和CPLD等目标器

9、件的网表文件已不成问题,只是在综合与优化效率上略有差异。 (2) VHDL具有丰富的仿真语句和库函数,使得在任何大系统的设计早期,就能查验设计系统的功能可行性,随时可对系统进行仿真模拟,使设计者对整个工程的结构和功能可行性做出判断。 4.1 概述概述4.1.2 VHDL的优点的优点 (3) VHDL语句的行为描述能力和程序结构,决定了它具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效、高速的完成必须有多人甚至多个开发组共同并行工作才能实现,VHDL中设计实体的概念、程序包的概念、设计库的概念为设计的分解和并行工作提供了有利的支持。 4.2 多路选择器的多路选择器的V

10、HDL描述描述 4.2.1 2选选1多路选择器的多路选择器的VHDL描述描述 【例【例4-1】 ENTITY mux21a IS PORT( a, b : IN BIT ; s : IN BIT; y : OUT BIT ) ;END ENTITY mux21a ;ARCHITECTURE one OF mux21a IS BEGIN y = a WHEN s = 0 ELSE b ;END ARCHITECTURE one ;实体结构体图图4-1 mux21a实体实体图图4-2 mux21a结构体结构体4.2 多路选择器的多路选择器的VHDL描述描述 4.2.1 2选选1多路选择器的多路选择

11、器的VHDL描述描述 【例【例4-2】ENTITY e_name IS PORT ( p_name : port_m data_type; . p_namei : port_mi data_type );END ENTITY e_name;【例【例4-2】ENTITY e_name IS PORT ( p_name : port_m data_type; . p_namei : port_mi data_type );END e_name;4.2 多路选择器的多路选择器的VHDL描述描述 4.2.1 2选选1多路选择器的多路选择器的VHDL描述描述 【例【例4-3】 ENTITY mux21a

12、 IS PORT ( a, b, s: IN BIT; y : OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a IS BEGIN PROCESS (a,b,s) BEGIN IF s = 0 THEN y = a ; ELSE y = b ;END IF; END PROCESS;END ARCHITECTURE one ; 4.2 多路选择器的多路选择器的VHDL描述描述 4.2.1 2选选1多路选择器的多路选择器的VHDL描述描述 图图4-3 mux21a功能时序波形功能时序波形 4.2 多路选择器的多路选择器的VHDL描述描述

13、 4.2.1 2选选1多路选择器的多路选择器的VHDL描述描述 一个的一个的VHDL程序程序(或称为设计实体或称为设计实体) 包括两个基本组成部分:包括两个基本组成部分:实体说明和实体对应的结构体说明实体说明和实体对应的结构体说明。实体说明用于描述该设计实。实体说明用于描述该设计实体体与外界的接口信号说明与外界的接口信号说明,是可视部分;结构体说明用于描述该,是可视部分;结构体说明用于描述该设计实体设计实体内部工作的逻辑关系内部工作的逻辑关系,是不可视部分。,是不可视部分。在一个实体中,可以含有在一个实体中,可以含有一个或一个以上的结构体一个或一个以上的结构体,而在每一,而在每一个结构体中又可

14、以含有个结构体中又可以含有一个或多个进程以及其他的语句一个或多个进程以及其他的语句。根据需。根据需要,实体还可以有配置说明语句。配置说明语句主要用于以层次要,实体还可以有配置说明语句。配置说明语句主要用于以层次化的方式对特定的设计实体进行元件例化,或是为实体选定某个化的方式对特定的设计实体进行元件例化,或是为实体选定某个特定的结构体。特定的结构体。 4.2 多路选择器的多路选择器的VHDL描述描述 4.2.1 2选选1多路选择器的多路选择器的VHDL描述描述 4.2 多路选择器的多路选择器的VHDL描述描述 4.2.2 相关语句结构和语法说明相关语句结构和语法说明-实体实体 实体是一个设计实体

15、的表层设计单元,其功能是对这个设计实体与外部电路进行接口描述。它规定了设计单元的输入输出接口信号或引脚,是设计实体经封装后对外的一个通信界面。 1实体语句结构实体语句结构实体说明单元的常用语句结构如下: ENTITY 实体名 IS GENERIC(类属表); PORT(端口表); END ENTITY 实体名; 4.2 多路选择器的多路选择器的VHDL描述描述 4.2.2 相关语句结构和语法说明相关语句结构和语法说明 实体说明单元必须以语句“ENTITY 实体名IS”开始,以语句“END ENTITY 实体名;”结束,其中的实体名是设计者自己给设计实体的命名,可作为其他设计实体对该设计实体进行

16、调用时用。中间在方括号内的语句描述,在特定的情况下并非是必须的。例如构建一个VHDL仿真测试基准等情况中可以省去方括号中的语句。4.2 多路选择器的多路选择器的VHDL描述描述 4.2.2 相关语句结构和语法说明相关语句结构和语法说明2类属类属(GENERIC)说明语句说明语句 类属(GENERIC)参量是一种端口界面常数,常以一种说明的形式放在实体或块结构体前的说明部分。类属为所说明的环境提供了一种静态信息通道,类属的值可以由设计实体外部提供。因此,设计者可以从外面通过类属参量的重新设定而容易地改变一个设计实体或一个元件的内部电路结构和规模。 4.2 多路选择器的多路选择器的VHDL描述描述

17、 4.2.2 相关语句结构和语法说明相关语句结构和语法说明类属说明的一般书写格式如下: GENERIC(常数名;数据类型:设定值 ;常数名:数据类型:设定值 ); 类属参量以关键词GENERIC引导一个类属参量表,在表中提供时间参数或总线宽度等静态信息。类属表说明用于确定设计实体和其外部环境通信的参数,传递静态的信息。类属说明在所定义的环境中的地位十分接近常数,但却能从环境(如设计实体)外部动态地接受赋值,其行为又有点类似于端口PORT。因此,常如以上的实体定义语句那样,将类属说明放在其中,且放在端口说明语句的前面。 4.2 多路选择器的多路选择器的VHDL描述描述 4.2.2 相关语句结构和

18、语法说明相关语句结构和语法说明【例】【例】 ENTITY MCK IS GENERIC(WIDTH:INTEGER:=16); PORT(ADD_BUS:OUT STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) ; . 在这里,GENERIC语句对实体MCK的作为地址总线的端口ADD_BUS的数据类型和宽度作了定义,即定义ADD_BUS为一个16位的位矢量。4.2 多路选择器的多路选择器的VHDL描述描述 4.2.2 相关语句结构和语法说明相关语句结构和语法说明【例】【例】 2输入与门的实体描述。 ENTITY AND2 IS GENERIC(RISEW:TIME:=1 n

19、s; FALLW:TIME:=1 ns); PORT( A1:IN STD_LOGIC; A0:IN STD_LOGIC; Z0:OUT STD_LOGIC); END ENTITY AND2; 这是一个准备作为2输入与门的设计实体的实体描述,在类属说明中定义参数RISEW为上沿宽度,FALLW为下沿宽度,它们分别为 1 ns,这两个参数用于仿真模块的设计。 4.2 多路选择器的多路选择器的VHDL描述描述 4.2.2 相关语句结构和语法说明相关语句结构和语法说明3PORT端口说明端口说明 由PORT引导的端口说明语句是对于一个设计实体界面的说明。 实体端口说明的一般书写格式如下: PORT(

20、端口名:端口模式 数据类型; 端口名:端口模式 数据类型); 4.2 多路选择器的多路选择器的VHDL描述描述 4.2.2 相关语句结构和语法说明相关语句结构和语法说明 端口名是设计者为实体的每一个对外通道所取的名字;端口模式是指这些通道上的数据流动方式,如输入或输出等;数据类型是指端口上流动的数据的表达格式。由于VHDL是一种强类型语言,它对语句中的所有操作数的数据类型都有严格的规定。一个实体通常有一个或多个端口,端口类似于原理图部件符号上的管脚。实体与外界交流的信息必须通过端口通道流入或流出。 4.2 多路选择器的多路选择器的VHDL描述描述 4.2.2 相关语句结构和语法说明相关语句结构

21、和语法说明 4. 4. 端口模端口模式式 IN IN 输入端口,定义的通道为单向只读模式输入端口,定义的通道为单向只读模式 OUT OUT 输出端口,定义的通道为单向输出模式输出端口,定义的通道为单向输出模式 INOUT INOUT 定义的通道确定为输入输出双向端口定义的通道确定为输入输出双向端口 BUFFER BUFFER 缓冲端口,其功能与缓冲端口,其功能与INOUTINOUT类似类似 4.2 多路选择器的多路选择器的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体 结构体是用于描述设计实体的内部结构以及实体端口间的逻辑关系。一般地,一个完整的结构体

22、由两个基本层次组成: 对数据类型、常数、信号、子程序和元件等元素的说明部分。 描述实体逻辑行为的,以各种不同的描述风格表达的功能描述语句。4.2 多路选择器的多路选择器的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体4.2 多路选择器的多路选择器的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体 结构体将具体实现一个实体。每个实体可以有多个结构体,但同一结构体不能为不同的实体所拥有。对于具有多个结构体的实体,必须用CONFIGURATION配置语句指明用于综合的结构体和用于仿真的结构体,即在综合后的可映射于硬件电

23、路的设计实体中,一个实体只对应一个结构体。4.2 多路选择器的多路选择器的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体1. 结构体的一般语句格式结构体的一般语句格式 结构体的语句格式如下: ARCHITECTURE 结构体名 OF 实体名 IS 说明语句 BEGIN 功能描述语句 END ARCHITECTURE 结构体名;其中,实体名必须是所在设计实体的名字,而结构体名可以由设计者自己选择,但当一个实体具有多个结构体时,结构体的取名不可重复。4.2 多路选择器的多路选择器的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-

24、结构体结构体2结构体说明语句结构体说明语句 结构体中的说明语句是对结构体的功能描述语句中将要用到的信号(SIGNAL)、数据类型(TYPE)、常数(CONSTANT)、元件(COMPONENT)、函数(FUNCTION)和过程(PROCEDURE)等加以说明的语句。但在一个结构体中说明和定义的数据类型、常数、元件、函数和过程只能用于这个结构体中,若希望其能用于其他的实体或结构体中,则需要将其作为程序包来处理。4.2 多路选择器的多路选择器的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体3功能描述语句结构功能描述语句结构 有五种不同类型的,以并行方式工作

25、的语句结构。而在每一语句结构的内部可能含有并行运行的逻辑描述语句或顺序运行的逻辑描述语句。各语句结构的基本组成和功能分别是: (1) 块语句是由一系列并行执行语句构成的组合体,它的功能是将结构体中的并行语句组成一个或多个模块。 4.2 多路选择器的多路选择器的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体 (2) 进程语句定义顺序语句模块,用以将从外部获得的信号值,或内部的运算数据向其他的信号进行赋值。 (3) 信号赋值语句将设计实体内的处理结果向定义的信号或界面端口进行赋值。 (4) 子程序调用语句用于调用一个已设计好的子程序。 (5) 元件例化语句

26、对其他的设计实体作元件调用说明,并将此元件的端口与其他的元件、信号或高层次实体的界面端口进行连接。 4.2 多路选择器的多路选择器的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体【例【例4-1】ENTITY mux21a IS PORT ( a, b : IN BIT; s : IN BIT; y : OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a IS BEGIN y = a WHEN s = 0 ELSE b ;END ARCHITECTURE one ; 4.2 多路选择器多路选择器的

27、的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体4. 赋值符号和数据比较符号赋值符号和数据比较符号 赋值符赋值符 “=” 表式中的等号表式中的等号“=”没有赋值的含义,只是一种数据比较符号。输没有赋值的含义,只是一种数据比较符号。输出结果数据类型是布尔数据类型出结果数据类型是布尔数据类型BOOLEANBOOLEAN 。 BOOLEANBOOLEAN取值分别是:取值分别是:true falsetrue falsey = a WHEN s = 0 ELSE b ; 端口端口a的数据向的数据向y输出输出 ,经历模拟器最小分辨时间,经历模拟器最小分辨时间,两边

28、信号的两边信号的数据类型必须一致数据类型必须一致4.2 多路选择器的多路选择器的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体5. WHEN_ELSE条件信号赋值语句条件信号赋值语句 赋值目标赋值目标 = 表达式表达式 WHEN 赋值条件赋值条件 ELSE 表达式表达式 WHEN 赋值条件赋值条件 ELSE . 表达式表达式 ; z = a WHEN p1 = 1 ELSE b WHEN p2 = 1 ELSE c ; 4.2 多路选择多路选择器的器的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体【例【例4-2

29、】 ENTITY mux21a IS PORT ( a, b : IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a;ARCHITECTURE one OF mux21a IS SIGNAL d,e : BIT; BEGINd = a AND (NOT S) ;e = b AND s ;y = d OR e ; END ARCHITECTURE one ; 4.2 多路选择器多路选择器的的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体7. 逻辑操作符逻辑操作符 p105p105AND、OR、NOT

30、6. 信号定义语句信号定义语句SIGNAL d,e : BIT; NAND、N NOR、 X XOR、 XN NOR4.2 多路选择器多路选择器的的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体 VHDL的各种表达式由操作数和操作符组成,其中操作数是各种运算的对象,而操作符则规定运算的方式。1操作符种类及对应的操作数类型操作符种类及对应的操作数类型 在VHDL中,有四类操作符,即逻辑操作符(Logical Operator)、关系操作符(Relational Operator)和算术操作符(Arithmetic Operator),此外还有重载操作符(

31、Overloading Operator)。前三类操作符是完成逻辑和算术运算的最基本的操作符的单元,重载操作符是对基本操作符作了重新定义的函数型操作符。各种操作符所要求的操作数的类型详见表3.2,操作符之间的优先级别见表3.3。4.2 多路选择器多路选择器的的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体表表3.2 VHDL操作符列表操作符列表4.2 多路选择器多路选择器的的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体表表3.2 VHDL操作符列表操作符列表4.2 多路选择器多路选择器的的VHDL描述描述 4

32、.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体表表3.3 VHDL操作符优先级操作符优先级 4.2 多路选择器多路选择器的的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体2各种操作符的使用说明各种操作符的使用说明(1) 严格遵循在基本操作符间操作数是同数据类型的规则;严格遵循操作数的数据类型必须与操作符所要求的数据类型完全一致的规则。(2) 注意操作符之间的优先级别。当一个表达式中有两个以上的算符时,可使用括号将这些运算分组。(3) 关系操作符的作用是将相同数据类型的数据对象进行数值比较(=、/=)或关系排序判断(、 、=),并将

33、结果以布尔类型(BOOTLEAN)的数据表示出来,即TRUE或FALSE两种。 4.2 多路选择器多路选择器的的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体(5) 在表3.2中所列的17种算术操作符可以分为求和操作符、求积操作符、符号操作符、混合操作符、移位操作符等五类操作符。求和操作符包括加减操作符和并置操作符。加减操作符的运算规则与常规的加减法是一致的,VHDL规定它们的操作数的数据类型是整数。并置运算符(&)的操作数的数据类型是一维数组,可以利用并置符将普通操作数或数组组合起来形成各种新的数组。例如“VH”&“DL”的结果为“VHDL”;“0”

34、&“1”的结果为“01”,连接操作常用于字符串。但在实际运算过程中,要注意并置操作前后的数组长度应一致。4.2 多路选择器多路选择器的的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体 求积操作符包括* (乘)、/(除)、MOD(取模)和REM(取余)四种操作符。VHDL规定,乘与除的数据类型是整数和实数(包括浮点数)。在一定条件下,还可对物理类型的数据对象进行运算操作。 但需注意的是,虽然在一定条件下,乘法和除法运算是可综合的,但从优化综合、节省芯片资源的角度出发,最好不要轻易使用乘除操作符。对于乘除运算可以用其他变通的方法来实现。 操作符MOD和RE

35、M的本质与除法操作符是一样的,因此,可综合的取模和取余的操作数必须是以2为底数的幂。MOD和REM的操作数数据类型只能是整数,运算操作结果也是整数。4.2 多路选择器多路选择器的的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体 符号操作符“+”和“-”的操作数只有一个,操作数的数据类型是整数,操作符“+”对操作数不作任何改变,操作符“-”作用于操作数后的返回值是对原操作数取负,在实际使用中,取负操作数需加括号。如:Z:=X*(-Y);。 混合操作符包括乘方“*”操作符和取绝对值“ABS”操作符两种。VHDL规定,它们的操作数数据类型一般为整数类型。乘方

36、(*)运算的左边可以是整数或浮点数,但右边必须为整数,而且只有在左边为浮点时,其右边才可以为负数。一般地,VHDL综合器要求乘方操作符作用的操作数的底数必须是2。4.2 多路选择器多路选择器的的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体 六种移位操作符号SLL、SRL、SLA、SRA、ROL和ROR都是VHDL93标准新增的运算符,在1987标准中没有。VHDL93标准规定移位操作符作用的操作数的数据类型应是一维数组,并要求数组中的元素必须是BIT或BOOLEAN的数据类型,移位的位数则是整数。 其中SLL是将位矢向左移,右边跟进的位补零;SRL的

37、功能恰好与SLL相反;ROL和ROR的移位方式稍有不同,它们移出的位将用于依次填补移空的位,执行的是自循环式移位方式;SLA和SRA是算术移位操作符,其移空位用最初的首位来填补。4.2 多路选择器多路选择器的的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体【例【例4-3】 ENTITY mux21a IS PORT ( a, b, s: IN BIT; y : OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a IS BEGIN PROCESS (a,b,s) BEGIN IF s = 0 TH

38、EN y = a ; ELSE y B) THEN OUTPUTB)检测结果为TRUE,则向信号OUTPUT赋值1,否则此信号维持原值。4.2 多路选择器多路选择器的的VHDL描述描述 4.2.3 相关语句结构和语法说明相关语句结构和语法说明-结构体结构体 是是放放在在processend引引导导的的语语句句中中。由由 process引引导导的的语语句句称称为为进进程语句。在程语句。在vhdl,所有合法的顺序语句必须放在进程语句中。所有合法的顺序语句必须放在进程语句中。9. 进程语句和顺序语句进程语句和顺序语句 p137p137 Process(a,b,s)称称为为进进程程的的敏敏感感信信号号

39、表表,进进程程中中所所有有输输入入信信号号都都放放在在敏敏感感信信号号表表中中。 Process语语句句的的执执行行依依赖赖于于敏敏感感信信号号的的变变化化(或或称称事事件发生)。件发生)。10. 文件取名和存盘文件取名和存盘 4.3 寄存器描述及其寄存器描述及其VHDL语言现象语言现象 4.3.1 D触发器的触发器的VHDL描述描述 K K K KX康芯科技康芯科技【例【例4-6】LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF1 IS PORT (CLK : IN STD_LOGIC ; D : IN STD_LOGIC ; Q

40、: OUT STD_LOGIC ); END ; ARCHITECTURE bhv OF DFF1 IS SIGNAL Q1 : STD_LOGIC ; -类似于在芯片内部定义一个数据的暂存节点类似于在芯片内部定义一个数据的暂存节点 BEGIN PROCESS (CLK,Q1) BEGIN IF CLKEVENT AND CLK = 1 THEN Q1 = D ; END IF; END PROCESS ;Q = Q1 ; -将内部的暂存数据向端口输出(双横线将内部的暂存数据向端口输出(双横线-是注释符号)是注释符号) END bhv; 图4-4 D触发器图图4-4 D触发器触发器4.3 寄存

41、器描述及其寄存器描述及其VHDL语言现象语言现象 4.3.2 VHDL描述的语言现象说明描述的语言现象说明 图4-4 D触发器1. 1. 标准逻辑位数据类型标准逻辑位数据类型STD_LOGICSTD_LOGIC BIT数据类型定义:数据类型定义: TYPE BIT IS(0,1); -只有两种取值只有两种取值 STD_LOGIC数据类型定义:数据类型定义: TYPE STD_LOGIC IS (U,X,0,1,Z,W,L,H,-); 4.3 寄存器描述及其寄存器描述及其VHDL语言现象语言现象 4.3.2 VHDL描述的语言现象说明描述的语言现象说明 图4-4 D触发器2. 2. 设计库和标准

42、程序包设计库和标准程序包 LIBRARY WORK ;LIBRARY STD ; USE STD.STANDARD.ALL ; 使用库和程序包的一般定义表式是:使用库和程序包的一般定义表式是:LIBRARY LIBRARY ;USE USE .ALL ;ALL ; 4.3 寄存器描述及其寄存器描述及其VHDL语言现象语言现象 4.3.2 VHDL描述的语言现象说明描述的语言现象说明 图4-4 D触发器3. 3. 信号定义和数据对象信号定义和数据对象 “CLKEVENT AND CLK=1” “SIGNAL Q1:STD_LOGIC;” 4. 4. 上升沿检测表式和信号属性函数上升沿检测表式和信

43、号属性函数EVENTEVENT EVENT EVENT 4.3 寄存器描述及其寄存器描述及其VHDL语言现象语言现象 4.3.2 VHDL描述的语言现象说明描述的语言现象说明 图4-4 D触发器属性属性(ATTRIBUTE)描述与定义语句描述与定义语句p127 VHDL中预定义属性描述语句有许多实际的应用,可用于对信号或其他项目的多种属性检测或统计。VHDL中可以具有属性的项目如下: 类型、子类型;过程、函数;信号、变量、常量;实体、结构体、配置、程序包;元件;语句标号。4.3 寄存器描述及其寄存器描述及其VHDL语言现象语言现象 4.3.2 VHDL描述的语言现象说明描述的语言现象说明 图4

44、-4 D触发器 属性是以上各类项目的特性,某一项目的特定属性或特征通常可以用一个值或一个表达式来表示,通过VHDL的预定义属性描述语句就可以加以访问。 属性的值与对象(信号、变量和常量)的值完全不同,在任一给定的时刻,一个对象只能具有一个值,但却可以具有多个属性。VHDL还允许设计者自己定义属性(即用户定义的属性)。4.3 寄存器描述及其寄存器描述及其VHDL语言现象语言现象 4.3.2 VHDL描述的语言现象说明描述的语言现象说明 图4-4 D触发器 预定义属性描述语句实际上是一个内部预定义函数,其语句格式是: 属性测试项目名属性标识符 属性测试项目即属性对象,可由相应的标识符表示,属性标识

45、符就是列于表3.4中的有关属性名。以下仅就可综合的属性项目使用方法作一说明。4.3 寄存器描述及其寄存器描述及其VHDL语言现象语言现象 4.3.2 VHDL描述的语言现象说明描述的语言现象说明 图4-4 D触发器1)信号类属性 信号类属性中,最常用的当属EVENT。例如,语句“CLOCKEVENT”就是对以CLOCK为标识符的信号,在当前的一个极小的时间段内发生事件的情况进行检测。所谓发生事件,就是电平发生变化,从一种电平方式转变到另一种电平方式。如果在此时间段内,CLOCK由0变成1或由1变成0都认为发生了事件,于是这句测试事件发生与否的表达式将向测试语句,如IF语句,返回一个BOOLEA

46、N值TRUE,否则为FALSE。4.3 寄存器描述及其寄存器描述及其VHDL语言现象语言现象 4.3.2 VHDL描述的语言现象说明描述的语言现象说明 图4-4 D触发器4.3 寄存器描述及其寄存器描述及其VHDL语言现象语言现象 4.3.2 VHDL描述的语言现象说明描述的语言现象说明 图4-4 D触发器4.3 寄存器描述及其寄存器描述及其VHDL语言现象语言现象 4.3.2 VHDL描述的语言现象说明描述的语言现象说明 图4-4 D触发器2) 数据区间类属性 数据区间类属性有RANGE(N)和REVERSE_RANGE(N),这类属性函数主要是对属性项目取值区间进行测试,返还的内容不是一个

47、具体值,而是一个区间,它们的含义如表3.4所示。对于同一属性项目,RANGE和REVERSE_RANGE返回的区间次序相反,前者与原项目次序相同,后者相反。4.3 寄存器描述及其寄存器描述及其VHDL语言现象语言现象 4.3.2 VHDL描述的语言现象说明描述的语言现象说明 图4-4 D触发器 SIGNAL RANGE1: IN STD_LOGIC_VECTOR(0 TO 7); FOR I IN RANGE1RANGE LOOP 本例中的FOR_LOOP语句与语句“FOR I IN 0 TO 7 LOOP”的功能是一样的,这说明RANGE1RANGE返回的区间即为位矢RANGE1定义的元素范

48、围。如果用REVERSE RANGE,则返回的区间正好相反,是(7DOWNTO 0)。5. 5. 不完整条件语句与时序电路不完整条件语句与时序电路 【例【例4-7】ENTITY COMP_BAD IS PORT( a1,b1 : IN BIT; q1 : OUT BIT ); END ; ARCHITECTURE one OF COMP_BAD IS BEGIN PROCESS (a1,b1) BEGIN IF a1 b1 THEN q1 = 1 ;ELSIF a1 b1 THEN q1 b1 THEN q1 = 1 ; ELSE q1 = 0 ; END IF; . 4.3.3 实现时序电路

49、的实现时序电路的VHDL不同表述不同表述 4.3 寄存器描述及其寄存器描述及其VHDL语言现象语言现象 【例【例4-9】.PROCESS (CLK) BEGINIF CLKEVENT AND (CLK=1) AND (CLKLAST_VALUE=0) THEN Q = D ; -确保确保CLK的变化是一次上升沿的跳变的变化是一次上升沿的跳变 END IF; END PROCESS ; 4.3.3 实现时序电路的实现时序电路的VHDL不同表述不同表述 4.3 寄存器描述及其寄存器描述及其VHDL语言现象语言现象 【例【例4-10】.PROCESS (CLK) BEGINIF CLK=1 AND

50、CLKLAST_VALUE=0 -同例同例4-9 THEN Q = D ; END IF; END PROCESS ; 【例【例4-11】LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY DFF3 IS PORT (CLK,D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ; ARCHITECTURE bhv OF DFF3 IS SIGNAL Q1 : STD_LOGIC; BEGIN PROCESS (CLK) BEGIN IF rising_edge(CLK) - 必须打开必须打开STD_LOGIC_

51、1164程序包程序包 THEN Q1 = D ; END IF; END PROCESS ; Q = Q1 ; -在此,赋值语句可以放在进程外,作为并行赋值语句在此,赋值语句可以放在进程外,作为并行赋值语句 END ; 4.3.3 实现时序电路的实现时序电路的VHDL不同表述不同表述 4.3 寄存器描述及其寄存器描述及其VHDL语言现象语言现象 【例【例4-12】.PROCESS BEGIN wait until CLK = 1 ; -利利用用wait语语句句, ,不不必必列列出出 敏感信号敏感信号 Q = D ;END PROCESS; 4.3.3 实现时序电路的实现时序电路的VHDL不同表

52、述不同表述 【例【例4-13】.PROCESS (CLK) BEGIN IF CLK = 1 THEN Q = D ; -利用进程的启动特性产生对利用进程的启动特性产生对CLK的边沿检测的边沿检测 END IF; END PROCESS ; 图图4-7 例例4-13的时序波形的时序波形 4.3.3 实现时序电路的实现时序电路的VHDL不同表述不同表述 【例【例4-14】.PROCESS (CLK,D) BEGIN IF CLK = 1 -电平触发型寄存器电平触发型寄存器 THEN Q = D ; END IF; END PROCESS ; 图图4-8 例例4-14的时序波形的时序波形 K K

53、K KX康芯科技康芯科技4.3.4 异步时序电路设计异步时序电路设计 【例【例4-15】 . ARCHITECTURE bhv OF MULTI_DFF IS SIGNAL Q1,Q2 : STD_LOGIC; BEGINPRO1: PROCESS (CLK) BEGIN IF CLKEVENT AND CLK=1 THEN Q1 = NOT (Q2 OR A); END IF; END PROCESS ;PRO2: PROCESS (Q1) BEGIN IF Q1EVENT AND Q1=1 THEN Q2 = D; END IF; END PROCESS ; QQ = Q2 ; . 图图4

54、-9 例例4-15综合后的电路(综合后的电路(Synplify综合)综合) 4.3.4 异步时序电路设计异步时序电路设计 4.3 寄存器描述及其寄存器描述及其VHDL语言现象语言现象 图图4-10 半加器半加器h_adder电路图及其真值表电路图及其真值表 4.4.1 半加器描述半加器描述 4.4 含有层次结构含有层次结构的的VHDL描述描述 图图4-11 全加器全加器f_adder电路图及其实体模块电路图及其实体模块 4.4.1 半加器描述半加器描述 4.4 含有层次结构含有层次结构的的VHDL描述描述 4.4.1 半加器描述半加器描述 4.4 含有层次结构含有层次结构的的VHDL描述描述【

55、例【例4-16】LIBRARY IEEE; -半加器描述半加器描述(1):布尔方程描述方法:布尔方程描述方法USE IEEE.STD_LOGIC_1164.ALL; ENTITY h_adder IS PORT (a, b : IN STD_LOGIC; co, so : OUT STD_LOGIC); END ENTITY h_adder; ARCHITECTURE fh1 OF h_adder is BEGIN so = NOT(a XOR (NOT b) ; co = a AND b ; END ARCHITECTURE fh1; K K K KX康芯科技康芯科技【例【例4-17】LIB

56、RARY IEEE; -半加器描述半加器描述(2):真值表描述方法:真值表描述方法USE IEEE.STD_LOGIC_1164.ALL; ENTITY h_adder IS PORT (a, b : IN STD_LOGIC; co, so : OUT STD_LOGIC); END ENTITY h_adder; ARCHITECTURE fh1 OF h_adder is SIGNAL abc : STD_LOGIC_VECTOR(1 DOWNTO 0) ; -定义标准逻辑位矢量定义标准逻辑位矢量数据类型数据类型BEGIN abc so=0; co so=1; co so=1; co s

57、o=0; co NULL ; END CASE; END PROCESS; END ARCHITECTURE fh1 ; 4.4.1 半加器描述半加器描述 4.4 含有层次结构含有层次结构的的VHDL描述描述【例【例4-18】 LIBRARY IEEE ; -或门逻辑描述或门逻辑描述 USE IEEE.STD_LOGIC_1164.ALL; ENTITY or2a IS PORT (a, b :IN STD_LOGIC; c : OUT STD_LOGIC ); END ENTITY or2a; ARCHITECTURE one OF or2a IS BEGIN c = a OR b ; EN

58、D ARCHITECTURE one ; 4.4.2 CASE语句语句 p1144.4 含有层次结构含有层次结构的的VHDL描述描述1. 1. CASECASE语句语句 CASE ISWhen = ; . ; ;When = ; . ; ;.WHEN OTHERS = ;END CASE ; 4.4 含有层次结构含有层次结构的的VHDL描述描述1. 1. CASECASE语句语句 当执行到CASE语句时,首先计算表达式的值,然后根据条件句中与之相同的选择值,执行对应的顺序语句,最后结束CASE语句。表达式可以是一个整数类型或枚举类型的值,也可以是由这些数据类型的值构成的数组(请注意,条件句中的

59、“=”不是操作符,它只相当于“THEN”的作用)。4.4 含有层次结构含有层次结构的的VHDL描述描述1. 1. CASECASE语句语句 选择值可以有四种不同的表达方式: 单个普通数值,如4; 数值选择范围,如(2 TO 4),表示取值2、3或4; 并列数值,如3 | 5,表示取值为3或者5; 混合方式,以上三种方式的混合。使用CASE语句需注意以下几点: (1) 条件句中的选择值必须在表达式的取值范围内。4.4 含有层次结构含有层次结构的的VHDL描述描述1. 1. CASECASE语句语句 (2) 除非所有条件句中的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句中的选择必

60、须用“OTHERS”表示。它代表已给的所有条件句中未能列出的其他可能的取值,这样可以避免综合器插入不必要的寄存器。这一点对于定义为STD_LOGIC和STD_LOGIC_VECTOR数据类型的值尤为重要,因为这些数据对象的取值除了1和0以外,还可能有其他的取值,如高阻态Z、不定态X 等。4.4 含有层次结构含有层次结构的的VHDL描述描述1. 1. CASECASE语句语句 (3) CASE语句中每一条件句的选择只能出现一次,不能有相同选择值的条件语句出现。 (4) CASE语句执行中必须选中,且只能选中所列条件语句中的一条。这表明CASE语句中至少要包含一个条件语句。【例【例4-19】 用C

61、ASE语句描述4选1多路选择器。4.4 含有层次结构含有层次结构的的VHDL描述描述1. 1. CASECASE语句语句 【例【例4-19】 用CASE语句描述4选1多路选择器。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUX41 IS PORT(S1,S2: IN STD_LOGIC; A,B,C,D:IN STD_LOGIC; Z: OUT STD_LOGIC);END ENTITY MUX41;ARCHITECTURE ART OF MUX41 IS SIGNAL S :STD_LOGIC_VECTOR(1 DOWNTO 0);4.4

62、 含有层次结构含有层次结构的的VHDL描述描述1. 1. CASECASE语句语句 【例【例4-19】 用CASE语句描述4选1多路选择器。BEGINSZZZZZ=X; END CASE; END PROCESS;END ART;4.4 含有层次结构含有层次结构的的VHDL描述描述1. 1. CASECASE语句语句 注意本例的第五个条件名是必需的,因为对于定注意本例的第五个条件名是必需的,因为对于定STD_LOGIC_VECTOR数据类型的数据类型的S,在在VHDL综合过程中,它可能的选综合过程中,它可能的选择值除了择值除了00、01、10和和11外,还可以有其他定义于外,还可以有其他定义于

63、STD_LOGIC的选择值。的选择值。本例的逻辑图如下图所示。本例的逻辑图如下图所示。4.4.2 CASE语句语句 4.4 含有层次结构含有层次结构的的VHDL描述描述2. 2. 标准逻辑矢量数据类型标准逻辑矢量数据类型 STD_LOGIC_VECTOR STD_LOGIC 在使用在使用STD_LOGIC_VECTOR中,中,必须注明其数组宽度,即位宽,如:必须注明其数组宽度,即位宽,如: B : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ; 或或 SIGNAL A :STD_LOGIC_VECTOR(1 TO 4) B = 01100010 ; - B(7)为为 0

64、B(4 DOWNTO 1) = 1101 ; - B(4)为为 1 B(7 DOWNTO 4) = A ; - B(6)等于等于 A(2) 4.4.2 CASE语句语句 4.4 含有层次结构含有层次结构的的VHDL描述描述3. 3. 并置操作符并置操作符 SIGNAL a : STD_LOGIC_VECTOR (3 DOWNTO 0) ;SIGNAL d : STD_LOGIC_VECTOR (1 DOWNTO 0) ;.a ain,b=bin,co=d,so=e);-例化语句例化语句 u2 : h_adder PORT MAP(a=e, b=cin, co=f,so=sum); u3 : o

65、r2a PORT MAP(a=d, b=f, c=cout); END ARCHITECTURE fd1; 4.4.3 全加器描述和例化语句全加器描述和例化语句 p1514.4.3 全加器描述和例化语句全加器描述和例化语句 p1514.4 含有层次结构含有层次结构的的VHDL描述描述COMPONENT 元件名元件名 IS PORT (端口名表端口名表) ;END COMPONENT 文件名文件名 ; COMPONENT h_adder PORT ( c,d : IN STD_LOGIC; e,f : OUT STD_LOGIC); 1)元件定义语句:现成的设计实体进行封装,只有对外接口界面,元

66、件定义语句:现成的设计实体进行封装,只有对外接口界面,必须在必须在architecture begin之间之间4.4.3 全加器描述和例化语句全加器描述和例化语句 4.4 含有层次结构含有层次结构的的VHDL描述描述例化名例化名 : 元件名元件名 PORT MAP( 端口名端口名 = 连接端口名连接端口名,.); 2)该元件与当前设计实体的连接说明该元件与当前设计实体的连接说明元件名(例化名):相当于电路板的插座名,是必须存在的元件名(例化名):相当于电路板的插座名,是必须存在的4.5 计数器设计计数器设计 【例【例4-21】ENTITY CNT4 IS PORT ( CLK : IN BIT

67、 ; Q : BUFFER INTEGER RANGE 15 DOWNTO 0 ) ; END ; ARCHITECTURE bhv OF CNT4 IS BEGIN PROCESS (CLK) BEGIN IF CLKEVENT AND CLK = 1 THEN Q = Q + 1 ; END IF; END PROCESS ;END bhv; 4.5 计数器设计计数器设计 4.5.1 4位二进制加法计数器设计位二进制加法计数器设计 表式Q = Q + 1的右项与左项并非处于相同的时刻内,对于时序电路,除了传输延时外,前者的结果出现于当前时钟周期;后者,即左项要获得当前的Q + 1,需等待下

68、一个时钟周期。 表面上,BUFFER具有双向端口INOUT的功能,但实际上其输入功能是不完整的,它只能将自己输出的信号再反馈回来,并不含有IN的功能。 注意注意注意注意VHDL规定加、减等算术运算操作符+,-对应的操作数,如式a+b中的a和b的数据类型只能是整型(除非对算术操作符有一些特殊说明,如重载函数的利用)。4.5 计数器设计计数器设计 4.5.2 整数类型整数类型 P89Q : BUFFER INTEGER RANGE 15 DOWNTO 0; 1 1 十进制整数十进制整数十进制整数十进制整数0 0 十进制整数十进制整数十进制整数十进制整数35 35 十进制整数十进制整数十进制整数十进

69、制整数1010E3E3 十进制整数,等于十进制整数十进制整数,等于十进制整数十进制整数,等于十进制整数十进制整数,等于十进制整数1000 1000 16#16#D9#D9# 十六进制整数,等于十六进制整数十六进制整数,等于十六进制整数十六进制整数,等于十六进制整数十六进制整数,等于十六进制整数D9H D9H 8#720#8#720# 八进制整数,等于八进制整数八进制整数,等于八进制整数八进制整数,等于八进制整数八进制整数,等于八进制整数720720O O 2#11010010#2#11010010#二进制整数,等于二进制整数二进制整数,等于二进制整数二进制整数,等于二进制整数二进制整数,等于二

70、进制整数1101001011010010B B 整数常量的书写方式示例整数常量的书写方式示例整数常量的书写方式示例整数常量的书写方式示例Q : BUFFER NATURAL RANGE 15 DOWNTO 0; 4.5 计数器设计计数器设计 4.5.2 整数类型整数类型 P89VHDL文字规则文字规则 VHDL文字(Literal)主要包括数值和标识符。数值型文字主要有数字型、字符串型、位串型。 1数字型文字 数字型文字的值有多种表达方式,现列举如下: (1) 整数文字:整数文字都是十进制的数,如: 5,678,0,156E2(=15600),45_234_287(=45234287) 数字间

71、的下划线仅仅是为了提高文字的可读性,相当于一个空的间隔符,而没有其他的意义,因而不影响文字本身的数值。 4.5 计数器设计计数器设计 4.5.2 整数类型整数类型 P89 (2) 实数文字:实数文字也都是十进制的数,但必须带有小数点,如: 188.993,88_670_551.453_909(=88670551.453909),1.0,44.99E-2(=0.4499),1.335,0.0 (3) 以数制基数表示的文字:用这种方式表示的数由五个部分组成。第一部分,用十进制数标明数制进位的基数;第二部分,数制隔离符号“#”;第三部分,表达的文字;第四部分,指数隔离符号“#”;第五部分,用十进制表

72、示的指数部分,这一部分的数如果是0可以省去不写。现举例如下:4.5 计数器设计计数器设计 4.5.2 整数类型整数类型 P8910#170# -(十进制数表示,等于170)2#1111_1110# -(二进制数表示,等于254)16#E#E1 -(十六进制数表示,等于2#11100000#,等于224)16#F.01#E+2 -(十六进制数表示,等于3841.00) (4) 物理量文字(VHDL综合器不接受此类文字)。如:60s(60秒),100m(100米),k(千欧姆),177A(177安培)K K K KX康芯科技康芯科技4.5.3 计数器设计的其他表述方法计数器设计的其他表述方法 【例

73、【例4-22】 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; USE IEEE.STD_LOGIC_UNSIGNED.ALL ; ENTITY CNT4 IS PORT ( CLK : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ) ; END ; ARCHITECTURE bhv OF CNT4 ISSIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS (CLK) BEGIN IF CLKEVENT AND CLK = 1 THEN

74、 Q1 = Q1 + 1 ; END IF; END PROCESS ; Q = Q1 ; END bhv; 4.5 计数器设计计数器设计 4.5.3 计数器设计的其他表述方法计数器设计的其他表述方法 (1) 输入信号CLK定义为标准逻辑位STD_LOGIC,输出信号Q的数据类型明确定义为4位标准逻辑位矢量,必须利用LIBRARY和和USE语句,打开语句,打开STD_LOGIC_1164.ALL(2) Q的端口模式是OUT,由于Q没有输入端口模式特性,因此不能直接用在的Q= Q + 1(3) VHDL不允许在不同数据类型的操作数间进行直接操作或运算,而Q1 0) ; -计数计数 器异步复位器异

75、步复位 ELSIF CLKEVENT AND CLK=1 THEN -检测时钟上升沿检测时钟上升沿 接下页接下页 4.6 一般加法计数器设计一般加法计数器设计 IF EN = 1 THEN -检测是否允许计数(同步使能)检测是否允许计数(同步使能) IF CQI 0); -大于大于9, 计数值清零计数值清零 END IF; END IF;END IF; IF CQI = 9 THEN COUT = 1; -计数大于计数大于9,输出进位信号,输出进位信号 ELSE COUT = 0;END IF; CQ X) SIGNAL d1 : STD_LOGIC_VECTOR(4 DOWNTO 0); V

76、ARIABLE a1 : STD_LOGIC_VECTOR(15 DOWNTO 0); . d1 0); a1 := (OTHERS=0) ; d1 e(3),3=e(5), OTHERS=e(1) ); f 0) ; -计数计数 器异步复位器异步复位 ELSIF CLKEVENT AND CLK=1 THEN -检测时钟上升沿检测时钟上升沿 接下页接下页 4.6 一般加法计数器设计一般加法计数器设计 IF EN = 1 THEN -检测是否允许计数(同步使能)检测是否允许计数(同步使能) IF CQI 0); -大于大于9, 计数值清零计数值清零 END IF; END IF; END IF

77、; IF CQI = 9 THEN COUT = 1; -计数大于计数大于9,输出进位信号,输出进位信号 ELSE COUT = 0; END IF; CQ = CQI; -将计数值向端口输出将计数值向端口输出 END PROCESS;END behav; 4.6 一般加法计数器设计一般加法计数器设计 4.6.2 程序分析程序分析 (1)进程语句中包含两个独立的IF语句,第一个是非完整性条件语句,将产生计数器时序电路;第二个产生组合逻辑的多路选择器(2)当CLK,RST,或EN中任意信号发生变化,都将启动进程。 RST为“1”,计数器清零,即复位,独立于CLK,称为异步; RST为“0”,看是

78、否有时钟信号的上升沿,如果有,且EN=“1”,允许计数,CQI9,计数器正常,否则清零,如果EN=“0”,跳出IF语句,CQI保持原值。4.6 一般加法计数器设计一般加法计数器设计 4.6.2 程序分析程序分析 图图4-15 例例4-23的工作时序的工作时序 4.6.3 含并行置位的移位寄存器设计含并行置位的移位寄存器设计 【例【例4-24】带带有同步并行有同步并行预预置功能的置功能的8位右移移位寄存器。位右移移位寄存器。CLK是一位是一位时钟时钟信信号,号,DIN是是8位并行位并行预预置数据端口,置数据端口,LOAD是并行数据是并行数据预预置使能信号,置使能信号,QB是串行是串行输输出端口。

79、出端口。工作原理工作原理当当CLK上升沿到来上升沿到来时进时进程被启程被启动动,如果,如果LOAD使能信号是高使能信号是高电电平,平,则输则输入端口的入端口的8位二位二进进制数并行置入移位寄存器中,作制数并行置入移位寄存器中,作为为串行右移串行右移输输出的初始出的初始值值;如果如果LOAD使能信号是低电平使能信号是低电平,则执则执行行语语句句REG8(6 DOWNTO 0) := REG8(7 DOWNTO 1) 将寄存器中最低位首先将寄存器中最低位首先输输出,最高位被填出,最高位被填“1”。4.6.3 含并行置位的移位寄存器设计含并行置位的移位寄存器设计 【例【例4-24】LIBRARY I

80、EEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY SHFRT IS - 8位右移寄存器位右移寄存器 PORT ( CLK,LOAD : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); QB : OUT STD_LOGIC );END SHFRT;ARCHITECTURE behav OF SHFRT IS BEGIN PROCESS (CLK, LOAD) VARIABLE REG8 : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF CLKEVENT AND CLK = 1 T

81、HEN IF LOAD = 1 THEN REG8 := DIN; -由(由(LOAD=1)装载新数据装载新数据 ELSE REG8(6 DOWNTO 0) := REG8(7 DOWNTO 1); END IF; END IF; QB = REG8(0); - 输出最低位输出最低位 END PROCESS; END behav; 4.6 一般加法计数器设计一般加法计数器设计 4.6.3 含并行置位的移位寄存器设计含并行置位的移位寄存器设计 图图4-16 例例4-23的工作时序的工作时序 4.7 VHDLVHDL语句结构与语法小结语句结构与语法小结 实体:实体:结构体结构体端口定义端口定义:P

82、ORT( )端口模式:端口模式:IN,OUT,INOUT,BUFFER数据类型:数据类型:INTERGER,BOOLEAN,STD_LOGIC,BIT, STD_LOGIC_VECTOR信号赋值符信号赋值符= 延时延时条件比较符条件比较符=4.7 VHDLVHDL语句结构与语法小结语句结构与语法小结 逻辑操作符逻辑操作符IF条件语句条件语句并行条件语句并行条件语句 WHEN ELSE进程语句进程语句顺序语句顺序语句并行语句并行语句文件取名文件取名,存盘存盘VHDL库库: STD_LOGIC_1164, STD_LOGIC_UNSIGNED,STANDARD4.7 VHDLVHDL语句结构与语法

83、小结语句结构与语法小结 数据对象:数据对象:信号属性函数:信号属性函数:EVENT,LAST_VALUE时钟检测时钟检测:CLKEVENT AND CLK=1,RISING_EDGE( )时序电路时序电路:IF-THEN-END IF真值表达式:真值表达式:CASE WHEN并置操作符并置操作符:&元件例化元件例化:COMPONENT PORT MAP( )运算符重载的概念运算符重载的概念习习 题题 4-1. 画出与下例实体描述对应的原理图符号元件:画出与下例实体描述对应的原理图符号元件:ENTITY buf3s IS - 实体实体1: 三态缓冲器三态缓冲器 PORT (input : IN

84、STD_LOGIC ; - 输入端输入端 enable : IN STD_LOGIC ; - 使能端使能端 output : OUT STD_LOGIC ) ; - 输出端输出端END buf3x ;ENTITY mux21 IS -实体实体2: 2选选1多路选择器多路选择器 PORT (in0, in1, sel : IN STD_LOGIC; output : OUT STD_LOGIC); 习习 题题 4-2. 图图4-17所所示示的的是是4选选1多多路路选选择择器器 , 试试 分分 别别 用用 IF_THEN语语 句句 和和CASE语语句句的的表表达达方方式式写写出出此此电电路路的的V

85、HDL程序。程序。选选择择控控制制的的信信号号s1和和s0的的数数据据类类型型为为STD_LOGIC_VECTOR;当当s1=0,s0=0;s1=0,s0=1;s1=1,s0=0和和s1=1,s0=1分分别别执行执行y=a、y=b、y=c、y=d。 图图4-17 4选选1多路选择器多路选择器 习习 题题 4-3.4-3. 图图4-184-18所所示示的的是是双双2 2选选1 1多多路路选选择择器器构构成成的的电电路路MUXKMUXK,对对于于其其中中MUX21AMUX21A,当当s=0s=0和和11时时,分分别别有有y=ay=a和和y=by=b。试试在在一一个个结结构构体体中中用用两两个个进进

86、程来表达此电路,每个进程中用程来表达此电路,每个进程中用CASECASE语句描述一个语句描述一个2 2选选1 1多路选择器多路选择器MUX21AMUX21A。 图图4-18 双双2选选1多路选择器多路选择器 习习 题题 4-4.4-4. 图图4-194-19是是一一个个含含有有上上升升沿沿触触发发的的D D触触发发器器的的时时序序电电路路,试试写写出出此此电电路路的的VHDLVHDL设计文件。设计文件。 图图4-19 时序电路图时序电路图 习习 题题 4-5.4-5. 给出给出1 1位全减器的位全减器的VHDLVHDL描述。要求:描述。要求:(1) (1) 首首先先设设计计1 1位位半半减减器器,然然后后用用例例化化语语句句将将它它们们连连接接起起来来,图图4-204-20中中h_h_subersuber是半减器,是半减器,diffdiff是输出差,是输出差,s_outs_out是借位输出,是借位输出,sub_insub_in是借位输入。是借位输入。(2) (2) 以以1 1位位全全减减器器为为基基本本硬硬件件,构构成成串串行行借借位位的的8 8位位减减法法器器,要要求求用用例例化化语语句来完成此项设计句来完成此项设计( (减法运算是减法运算是 x y - sun_in = x y - sun_in = diffrdiffr) )。 图图4-19 时序电路图时序电路图

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 研究生课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号