微机原理第章计算机基础

上传人:m**** 文档编号:576891177 上传时间:2024-08-20 格式:PPT 页数:52 大小:2.15MB
返回 下载 相关 举报
微机原理第章计算机基础_第1页
第1页 / 共52页
微机原理第章计算机基础_第2页
第2页 / 共52页
微机原理第章计算机基础_第3页
第3页 / 共52页
微机原理第章计算机基础_第4页
第4页 / 共52页
微机原理第章计算机基础_第5页
第5页 / 共52页
点击查看更多>>
资源描述

《微机原理第章计算机基础》由会员分享,可在线阅读,更多相关《微机原理第章计算机基础(52页珍藏版)》请在金锄头文库上搜索。

1、微型计算机原理及应用微型计算机原理及应用第一章第一章 计算机基础计算机基础第一章第一章 计算机基础计算机基础1.3 1.3 布尔代数基础布尔代数基础 1.2 1.2 计算机中的数制计算机中的数制 1.1 1.1 绪论绪论 本章主要内容本章主要内容: :1.4 1.4 逻辑电路基础逻辑电路基础 1.5 1.5 二进制数的运算及其加法电路二进制数的运算及其加法电路1.6 1.6 计算机中的编码计算机中的编码 1.7 1.7 浮点数基本概念浮点数基本概念 1 1.1 .1 绪论绪论1 1、电子电子计算机发展简史计算机发展简史(1 1)1946-19581946-1958第一代第一代: :电子管计算机

2、电子管计算机。磁鼓存储器,机器语言、。磁鼓存储器,机器语言、汇编语言编程。汇编语言编程。世界上第一台电子数字计算机世界上第一台电子数字计算机ENIACENIAC(Electronic Numerical Integrator And calculatorElectronic Numerical Integrator And calculator),),19461946年由美国宾夕法尼亚大学研制,字长年由美国宾夕法尼亚大学研制,字长1212位,运算速度位,运算速度50005000次次/ /秒,使用秒,使用1880018800个电子管、个电子管、15001500个继电器,功耗个继电器,功耗150k

3、w150kw,占地,占地170m170m2 2,重达重达3030吨,造价吨,造价100100万美元。见下页图。万美元。见下页图。 (2 2)1958-19641958-1964第二代第二代: :晶体管计算机晶体管计算机。磁芯作主存储器磁芯作主存储器, , 磁盘磁盘作外存储器,开始使用高级语言编程。作外存储器,开始使用高级语言编程。(3 3)1964-19711964-1971第三代:集成电路计算机第三代:集成电路计算机。使用。使用。使用。使用半导体存储器,半导体存储器,出现多终端计算机和计算机网络。出现多终端计算机和计算机网络。(4 4)1971- 1971- 第四代:大规模集成电路计算机第四

4、代:大规模集成电路计算机。出现微型计算出现微型计算机、单片微型计算机,外部设备多样化。机、单片微型计算机,外部设备多样化。(5 5)1981- 1981- 第五代:人工智能计算机第五代:人工智能计算机。模拟人的智能和交流模拟人的智能和交流方式。方式。1.1 1.1 绪论绪论2 2、计算机发展趋势、计算机发展趋势微型化微型化 便携式、低功耗便携式、低功耗高性能高性能 尖端科技领域的信息处理,需要超大容量、高速度尖端科技领域的信息处理,需要超大容量、高速度智能化智能化 模拟人类大脑思维和交流方式,多种处理能力模拟人类大脑思维和交流方式,多种处理能力系列化、标准化系列化、标准化 便于各种计算机硬、软

5、件兼容和升级便于各种计算机硬、软件兼容和升级网络化网络化 网络计算机和信息高速公路网络计算机和信息高速公路多机系统多机系统 大型设备、生产流水线集中管理大型设备、生产流水线集中管理( (独立控制、独立控制、 故障分散、资源共享故障分散、资源共享) )1.1 1.1 绪论绪论微微型型计计算算机机系系统统硬件硬件微型计算机微型计算机(主机主机)微处理器微处理器 (CPU)(CPU)软件软件外围设备外围设备运算器运算器控制器控制器存储器存储器 ( (内存内存) )RAMRAMROMROM外部设备外部设备辅助设备辅助设备 输入设备输入设备( (键盘、扫描仪、语音识别仪键盘、扫描仪、语音识别仪) ) 输

6、出设备输出设备( (显示器、打印机、绘图仪、显示器、打印机、绘图仪、) ) 辅助存储器辅助存储器( (磁带、磁盘、光盘磁带、磁盘、光盘) )输入输入/ /输出接口输出接口(PIO(PIO、SIOSIO、CTCCTC、ADCADC、DACDAC) ) ( (I/OI/O接口接口) ) 总线总线 (AB(AB、DBDB、CB)CB)系统软件系统软件( (操作系统,编辑、编译程序,故障诊断操作系统,编辑、编译程序,故障诊断, ,监控程序监控程序) )应用软件应用软件( (科学计算,工业控制,数据处理科学计算,工业控制,数据处理) )程序设计语言程序设计语言( (机器语言、汇编语言、高级语言机器语言、

7、汇编语言、高级语言) )电源电路电源电路时钟电路时钟电路3 3、微型计算机、微型计算机系统的系统的组成与结构组成与结构1.1 1.1 绪论绪论1.1 1.1 绪论绪论运算器运算器 控制器控制器 寄存器组寄存器组 内存储器内存储器 总线总线输入输出输入输出接口电路接口电路外部设备外部设备 软件软件微处理器微处理器微型计算机微型计算机微型计算机系统微型计算机系统微处理器、微型计算机、微型计算机系统之间的联系与区别:微处理器、微型计算机、微型计算机系统之间的联系与区别:1.1 1.1 绪论绪论单片机简介:单片机简介:单片机即单片微型计算机,是将计算机主机单片机即单片微型计算机,是将计算机主机(CPU

8、(CPU、内存和内存和I/OI/O接口接口) )集成在一集成在一小块硅片上的微型机。小块硅片上的微型机。单片机为工业测控而设计,又称微控制器单片机为工业测控而设计,又称微控制器。具有三高优势具有三高优势( (集成度高、可靠集成度高、可靠性高、性价比高性高、性价比高) )。主要应用于工业检测与控制、计算机外设、智能仪器仪表、通讯设备、家用主要应用于工业检测与控制、计算机外设、智能仪器仪表、通讯设备、家用电器等。电器等。 特别适合于嵌入式微型机应用系统。特别适合于嵌入式微型机应用系统。单片机开发系统有单片单板机和仿真器。实现单片机应用系统的硬、软件开单片机开发系统有单片单板机和仿真器。实现单片机应

9、用系统的硬、软件开发。发。1.1 1.1 绪论绪论4 4、计算机主要技术指标、计算机主要技术指标字长:字长:CPUCPU并行处理二进制的数据位数并行处理二进制的数据位数 如:如:8 8位机、位机、1616位机、位机、3232位机和位机和6464位机。位机。内存容量:内存中能够存储的二进制信息的数量,位内存容量:内存中能够存储的二进制信息的数量,位/ /字节字节/ /字。字。 容量单位:容量单位:1K=21K=21010=1024=1024,1M=21M=22020=1KK,=1KK, 1G=2 1G=23030=1KM =1KM ,1T=21T=24040=1KG=1KG。运算速度:运算速度:

10、CPUCPU处理速度处理速度 相关参数:时钟频率、主频、每秒运算次数相关参数:时钟频率、主频、每秒运算次数 如:如:100MHz100MHz、3.2GHz3.2GHz。内存存取周期:内存读写速度内存存取周期:内存读写速度 如:如:5050nSnS 、70nS 70nS 、200nS 200nS 。1.1 1.1 绪论绪论5 5、计算机主要应用领域、计算机主要应用领域v计算机应用通常分成如下各个领域计算机应用通常分成如下各个领域n科学计算,数据处理,实时控制,计算机辅助设计,人工智能,科学计算,数据处理,实时控制,计算机辅助设计,人工智能,v由于微型计算机具有如下特点由于微型计算机具有如下特点n

11、体积小、价格低,工作可靠、使用方便、通用性强体积小、价格低,工作可靠、使用方便、通用性强v所以,微型计算机可以分为两个主要应用方向:所以,微型计算机可以分为两个主要应用方向:用于数值计算、数据处理及信息管理方向用于数值计算、数据处理及信息管理方向n通用微机,例如:通用微机,例如:PCPC微机微机n功能越强越好、使用越方便越好功能越强越好、使用越方便越好用于过程控制及智能化仪器仪表方向用于过程控制及智能化仪器仪表方向n专用微机,例如:单片机、工控机专用微机,例如:单片机、工控机n可靠性高、实时性强可靠性高、实时性强n程序相对简单、处理数据量小程序相对简单、处理数据量小1.1 1.1 绪论绪论6

12、6、本门课程的研究内容及其地位与作用、本门课程的研究内容及其地位与作用研究内容:微机系统的基本组成与结构、工作原理及应用方法与技术。研究内容:微机系统的基本组成与结构、工作原理及应用方法与技术。本门课程在计算机知识结构中的地位与作用:本门课程在计算机知识结构中的地位与作用:1.1 1.1 绪论绪论7 7、学习方法建议、学习方法建议n复习并掌握先修课的有关内容复习并掌握先修课的有关内容n课堂:听讲与理解、适当笔记。课堂:听讲与理解、适当笔记。n课后:认真阅读教材和参考书、独立完成作业。课后:认真阅读教材和参考书、独立完成作业。n实验:充分准备、勤于动手实践。实验:充分准备、勤于动手实践。n8 8

13、、学习资源、学习资源n钱晓捷之微服网钱晓捷之微服网 http:/ http:/202.116.64.20/caicomputer/http:/202.116.64.20/caicomputer/u钱晓捷钱晓捷 陈涛,微型计算机原理及接口技术,陈涛,微型计算机原理及接口技术, 北京:机械工业出版社,北京:机械工业出版社,1999.11999.1uBarry Barry B.BreyB.Brey著著 陈谊等译,陈谊等译, IntelIntel系列微处理器结构、编程和接口技术大全系列微处理器结构、编程和接口技术大全 80X8680X86、PentiumPentium和和Pentium ProPent

14、ium Pro, 北京:机械工业出版社,北京:机械工业出版社,1998.11998.11.1 1.1 绪论绪论1.1.数制数制的的基本概念基本概念数制是人们利用符号来记数的科学方法,计算机科学中经常使用的数制有数制是人们利用符号来记数的科学方法,计算机科学中经常使用的数制有十进制、二进制、八进制和十六进制。十进制、二进制、八进制和十六进制。十进制、二进制、八进制和十六进制。十进制、二进制、八进制和十六进制。(1 1)十进制十进制十进制十进制(decimal system):(decimal system):(decimal system):(decimal system):有十个数码有十个数码

15、0 09 9、逢十进一。、逢十进一。 十进制是人们最熟悉的计数体制。十进制是人们最熟悉的计数体制。(2 2)二进制二进制二进制二进制(binary system):(binary system):(binary system):(binary system):两个数码两个数码:0:0、1, 1, 逢二进一逢二进一。 二进制为计算机中的数据表示形式。二进制为计算机中的数据表示形式。(3 3 3 3)八进制八进制八进制八进制(octave system)(octave system)(octave system)(octave system)有八个数码有八个数码0 07 7、逢八进一。、逢八进一。

16、(4 4)十六进制十六进制十六进制十六进制(hexadecimal system)(hexadecimal system)(hexadecimal system)(hexadecimal system)十六个数码十六个数码:0:09, A9, AF, F, 逢十六进一。逢十六进一。 八进制和十六进制能够简化二进制数的表示。八进制和十六进制能够简化二进制数的表示。不同进位制数以下标或后缀区别不同进位制数以下标或后缀区别, ,十进制数可不带下标。十进制数可不带下标。如如:101:101、101101D D、101101B B、101101O O、101H101H1.2 1.2 计算机中的数制计算机

17、中的数制十进制数据表达式十进制数据表达式例例 1234.5=11234.5=110103 3 +2+210102 2 +3+310101 1 +4+410100 0 +5+51010-1-1 加权展开式以加权展开式以1010为基数,各位系数为为基数,各位系数为0 09 9。一般表达式:一般表达式:N ND D= d= dn-1n-11010n-1n-1+d+dn-2n-21010n-2 n-2 + +d+d0 010100 0 +d+d-1-11010-1-1+ +二进制二进制二进制二进制数据表达式数据表达式例例 1101.101=11101.101=12 23 3+1+12 22 2+0+0

18、2 21 1+1+12 20 0+1+12 2-1-1+1+12 2-3-3 加权展开式以加权展开式以2 2为基数,各位系数为为基数,各位系数为0 0、1 1。一般表达式:一般表达式: N NB B = b = bn-1n-12 2n-1 n-1 + b+ bn-2n-22 2n-2 n-2 + +b+b0 02 20 0 +b+b-1-12 2-1-1+ +1.2 1.2 计算机中的数制计算机中的数制十六进制十六进制十六进制十六进制数据表达式数据表达式例:例:DFC.8=13DFC.8=1316162 2 +15 +1516161 1 +12 +1216160 0 +8 +81616-1-1

19、 展开式以展开式以1616为基数,各位系数为为基数,各位系数为0 09 9,A AF F。一般表达式:一般表达式:N NH H= h= hn-1n-11616n-1n-1+ h+ hn-2n-21616n-2n-2+ + h+ h0 016160 0+ h+ h-1-11616-1-1+ +进位计数制的一般表达式:进位计数制的一般表达式:a an-1n-1a an-2n-2a a1 1a a0 0a a-1-1a a-m-m= = a an-1n-1r rn-1n-1+a+an-2n-2r rn-2n-2+ +a+a1 1r r1 1a a0 0r r0 0a a-1-1r r-1-1a a-

20、m-mr r-m-m其中其中r r称为数制的基称为数制的基,r rn-1n-1、r rn-2n-2、r r1 1、r r0 0、r r-1-1、r r-m-m称为各位的称为各位的权权,a an-1n-1、a an-2n-2、a a1 1、a a0 0、a a-1-1、a a-m-m称为各位的系数称为各位的系数。1.2 1.2 计算机中的数制计算机中的数制2. 2. 数制数制之间的转换之间的转换(1 1)二、十六进制数转换成十进制数二、十六进制数转换成十进制数二、十六进制数转换成十进制数二、十六进制数转换成十进制数方法方法: :各位的系数乘以各位的权,然后全部加起来。举例:各位的系数乘以各位的权

21、,然后全部加起来。举例:1011.10101011.1010B B=1=12 23 3+1+12 21 1+1+12 20 0+1+12 2-1-1+1+12 2-3-3=11.625=11.625DFC.8DFC.8H H =13 =1316162 2+15+1516161 1+12+1216160 0+8+81616-1 -1 = 3580.5= 3580.5(2 2 2 2)二进制与十六进制数之间的转换二进制与十六进制数之间的转换二进制与十六进制数之间的转换二进制与十六进制数之间的转换方法方法:2:24 4=16 =16 ,四位二进制数对应一位十六进制数,四位二进制数对应一位十六进制数。

22、举例举例:3AF.23AF.2H H = = 00110011 10101010 11111111. .00100010 = 1110101111.001 = 1110101111.001B B 3 A F 2 3 A F 21111101.111111101.11B B = = 01110111 11011101. .11001100 = 7D.C = 7D.CH H 7 D C7 D C1.2 1.2 计算机中的数制计算机中的数制(3 3)十进制数转换成二、十六进制数)十进制数转换成二、十六进制数整数、小数分别转换整数、小数分别转换 整数转换法整数转换法“除除基基取取余余”:十十进进制制整

23、整数数不不断断除除以以转转换换进进制制基基数数,直直至至商商为为0 0。每每除除一一次次取一个余数,从低位排向高位。取一个余数,从低位排向高位。例题例题1 1:3939转换成二进制数转换成二进制数39 =10011139 =100111B B2 39 1 2 39 1 ( b b0 0)2 19 1 2 19 1 ( b b1 1) 2 9 1 2 9 1 ( b b2 2)2 4 0 2 4 0 ( b b3 3)2 2 0 2 2 0 ( b b4 4)2 1 1 2 1 1 ( b b5 5) 0 0例题例题2 2:208208转换成十六进制数转换成十六进制数 208 = D0H208

24、= D0H16 16 208 208 余余 0 016 16 13 13 余余 13 = D13 = DH H 0 01.2 1.2 计算机中的数制计算机中的数制 小数转换法小数转换法小数转换法小数转换法“乘基取整乘基取整”:用转换进制的基数乘以小数部分,直至小数为:用转换进制的基数乘以小数部分,直至小数为0 0或达到转换或达到转换精度要求的位数。每乘一次取一次整数,从最高位排到最低位。精度要求的位数。每乘一次取一次整数,从最高位排到最低位。例例1 1:0.6250.625转换成二进制数转换成二进制数 0.6250.625 2 2 1.25 1 (b 1.25 1 (b-1-1) ) 0.25

25、 0.25 2 2 0.50 0 (b 0.50 0 (b-2-2) ) 0.50 0.50 2 2 1.00 1 (b 1.00 1 (b-3-3) ) 所以所以0.625 = 0.101B0.625 = 0.101B1.2 1.2 计算机中的数制计算机中的数制例例2 2:0.6250.625转换成十六进制数转换成十六进制数 0.625 0.625 16 = 10.0 16 = 10.0 0.625 = 0.AH0.625 = 0.AH例例3 3:208.625 208.625 转换成十六进制数转换成十六进制数 208.625 = D0.AH208.625 = D0.AH1.2 1.2 计算

26、机中的数制计算机中的数制1.3 1.3 布尔代数基础布尔代数基础布尔代数又称为开关代数或逻辑代数,是在布尔代数又称为开关代数或逻辑代数,是在18471847年由英国数学家乔年由英国数学家乔治治. .布尔布尔(George Boole)(George Boole)首先创立的,布尔代数研究逻辑变量之间的首先创立的,布尔代数研究逻辑变量之间的相互关系和变化规律,它是分析和设计数字逻辑电路的理论基础和基相互关系和变化规律,它是分析和设计数字逻辑电路的理论基础和基本工具。本工具。布尔代数的特点:布尔代数的特点:(1)(1)变量只有两种可能的取值:变量只有两种可能的取值:0 0或或1 1。(2)(2)只有

27、只有3 3种基本的逻辑运算:种基本的逻辑运算:“与与”、“或或”、“非非”。1.1.基本逻辑运算基本逻辑运算最基本的逻辑操作:最基本的逻辑操作:“与与”(逻辑乘,符号(逻辑乘,符号A AB B或或ABAB或或A AB B)、)、“或或”(逻辑加,符号(逻辑加,符号A+BA+B)、)、“非非”(逻辑非或逻辑反,符号(逻辑非或逻辑反,符号A A,或,或A A)。)。与操作的定义:与操作的定义:A=1A=1且且B=1B=1 AB=1AB=1或操作的定义:或操作的定义:A=1A=1或或B=1B=1 A+B=1A+B=1非操作的定义:若非操作的定义:若A=1A=1则则A=0A=0,若,若A=0A=0则则

28、A=1A=1类似地可以定义多个变量的与操作和或操作。类似地可以定义多个变量的与操作和或操作。多位二进制数的逻辑运算定义为各对应位分别进行相应的逻辑运算。多位二进制数的逻辑运算定义为各对应位分别进行相应的逻辑运算。其它常用的逻辑操作:与非、或非、与或非、异或、同或等。其它常用的逻辑操作:与非、或非、与或非、异或、同或等。0-10-10-10-1律:律:律:律: A A A A 0=0 A+1=10=0 A+1=10=0 A+1=10=0 A+1=1自等律:自等律:自等律:自等律:A A A A 1=A A+0=A1=A A+0=A1=A A+0=A1=A A+0=A重叠律:重叠律:重叠律:重叠律

29、:A A A A A=A A+A=AA=A A+A=AA=A A+A=AA=A A+A=A互补律:互补律:互补律:互补律:A A A A A=0 A+A=1A=0 A+A=1A=0 A+A=1A=0 A+A=1交换律:交换律:交换律:交换律:A A A A B=BB=BB=BB=B A A+B=B+AA A+B=B+AA A+B=B+AA A+B=B+A结合律:结合律:结合律:结合律:(A(A(A(A B)B)B)B) C=AC=AC=AC=A (B(B(B(B C) (A+B)+C=A+(B+C)C) (A+B)+C=A+(B+C)C) (A+B)+C=A+(B+C)C) (A+B)+C=A

30、+(B+C)分配律:分配律:分配律:分配律:A A A A (B+C)=A(B+C)=A(B+C)=A(B+C)=A B+AB+AB+AB+A C A+BC A+BC A+BC A+B C=(A+B)C=(A+B)C=(A+B)C=(A+B) (A+C)(A+C)(A+C)(A+C)吸收律:吸收律:吸收律:吸收律:A A A A (A+B)=A A+A(A+B)=A A+A(A+B)=A A+A(A+B)=A A+A B=A A+AB=A A+AB=A A+AB=A A+A B=A+B AB=A+B AB=A+B AB=A+B A (A+B)=A(A+B)=A(A+B)=A(A+B)=A B

31、B B B反演律反演律反演律反演律(De. Morgan(De. Morgan(De. Morgan(De. Morgan定理定理定理定理) ) ) ):A A A A B=A+B A+B=AB=A+B A+B=AB=A+B A+B=AB=A+B A+B=A B B B B双重否定律双重否定律双重否定律双重否定律( ( ( (还原律还原律还原律还原律) ) ) ):A=AA=AA=AA=A2.2.基本运算规律基本运算规律1.3 1.3 布尔代数基础布尔代数基础逻辑函数可以选用布尔代数式表示,真值表表示,或卡诺图表示 。例:ABX000010100111ABX001011101110X=ABX=

32、AB真值表真值表布尔代数式3.3.逻辑函数的表示方法逻辑函数的表示方法1.3 1.3 布尔代数基础布尔代数基础4.4.真值表与逻辑表达式之间的相互转换真值表与逻辑表达式之间的相互转换与门与门与非门与非门ABABX000010100111ABX001011101110X=ABX=ABABX1.用用与逻辑与逻辑写出真值表中写出真值表中每一横行中输出为每一横行中输出为1的的逻辑表达式;逻辑表达式;2.用用或逻辑或逻辑汇总真值表中汇总真值表中全部输出为全部输出为1的逻辑。的逻辑。3.不必理睬那些输出为不必理睬那些输出为0的各行的内容,它们已的各行的内容,它们已经隐含在通过经隐含在通过1、2两两步写出的

33、表达式中。步写出的表达式中。X=A*B+A*B+A*BX真值表真值表1.3 1.3 布尔代数基础布尔代数基础5.5.逻辑函数的化简逻辑函数的化简v将一个逻辑函数变成一个形式更简单,与之等效的将一个逻辑函数变成一个形式更简单,与之等效的逻辑函数,称为化简。由于每个逻辑表达式是和一逻辑函数,称为化简。由于每个逻辑表达式是和一个电路相对应的,因此表达式的化简就能减少实现个电路相对应的,因此表达式的化简就能减少实现它的电路所用的元器件。常用的两种化简方法为:它的电路所用的元器件。常用的两种化简方法为:代数化简法和卡诺图化简法。代数化简法和卡诺图化简法。v代数化简法:利用布尔代数的基本公式和规则,进代数

34、化简法:利用布尔代数的基本公式和规则,进行化简的方法。行化简的方法。例如:例如:AB+AB+AB=A(B+B)+AB=A+AB=A+B1.3 1.3 布尔代数基础布尔代数基础1.4 1.4 逻辑电路基础逻辑电路基础逻辑电路是实现输入信号与输出信号之间逻辑关系的电路,计算机对于信逻辑电路是实现输入信号与输出信号之间逻辑关系的电路,计算机对于信息数据的处理都是由逻辑电路实现的,因此逻辑电路是计算机的硬件基础。息数据的处理都是由逻辑电路实现的,因此逻辑电路是计算机的硬件基础。常用的基本逻辑门电路有:与门、或门、非门、与非门、或非门、异或门、常用的基本逻辑门电路有:与门、或门、非门、与非门、或非门、异

35、或门、同或门、缓冲器等,这些基本门电路是构成逻辑电路的基本成分,利用它们同或门、缓冲器等,这些基本门电路是构成逻辑电路的基本成分,利用它们可以搭建多种多样的复杂的逻辑电路。基本逻辑门电路符号及表达式如下可以搭建多种多样的复杂的逻辑电路。基本逻辑门电路符号及表达式如下 非门非门非门非门 与门与门与门与门 与非门与非门与非门与非门异或门异或门 或门或门 或非门或非门AXBX=ABX=ABX=A+BX=A+BXXXAAABBBAXX=ABX=AX=AB同或门同或门AXBAXB另外一套常用的基本门电路的图形符号:另外一套常用的基本门电路的图形符号:另外一套常用的基本门电路的图形符号:另外一套常用的基本

36、门电路的图形符号:1&1= =1&1= =1XABABABXXXAABABABXXX非门非门非门非门与门与门与门与门与非门与非门与非门与非门或门或门或门或门异或非门异或非门异或非门异或非门即同或门即同或门即同或门即同或门异或门异或门异或门异或门或非门或非门或非门或非门X=AX=ABX=ABX=A+BX=A+BX=ABX=AB1.4 1.4 逻辑电路基础逻辑电路基础1.5 1.5 二进制数的运算及其加法电路二进制数的运算及其加法电路1. 1. 二进制数据算术运算规则二进制数据算术运算规则(1) 加法运算规则加法运算规则 0+0=0 例如:例如: 0101 0+1=1 +) 0001 1+0=1

37、0110 1+1=0 并产生进位并产生进位(2) 减法运算规则减法运算规则 0-0=0 例如:例如: 1011 0-1=1 并产生借位并产生借位 -) 0101 1-0=1 0110 1-1=(3)乘法运算规则乘法运算规则 例如:例如: 1101 0X0=0 X) 0101 0X1=0 1101 1X0=0 1101 1X1=1 1000001(4)除法运算规则除法运算规则 1101 例如:例如: 1110101/1001 1001 1110101 1001 1011 1001 01001 1001 0 000000001.5 1.5 二进制数的运算及其加法电路二进制数的运算及其加法电路2.2

38、.半加器电路设计半加器电路设计问题描述:对两个二进制数进行加法运算,产生问题描述:对两个二进制数进行加法运算,产生1 1位和和位和和1 1位进位。位进位。定义输入输出变量:输入定义输入输出变量:输入x,y,x,y,输出输出S S(sumsum),C(carry),C(carry)。真值表:真值表: x y C Sx y C S 0 0 0 0 0 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1 1 0 1 1 1 0逻辑表达式:逻辑表达式: S=S=xy+xy,Cxy+xy,C= =xyxy,由此可以化出逻辑图如下:由此可以化出逻辑图如下:1.5 1.5 二

39、进制数的运算及其加法电路二进制数的运算及其加法电路S Sy yC Cx x=1=1& &HAxysc 半加器电路图:半加器电路图: 半加器符号:半加器符号:1.5 1.5 二进制数的运算及其加法电路二进制数的运算及其加法电路3.3.全加器电路设计全加器电路设计FAabscico1位位全加器框图全加器框图1位位全加器真值表:全加器真值表:abcicos00000001010100101110100011011011010111111位位全加器逻辑表达式全加器逻辑表达式(电路图见电路图见p13)S=/a/bci+/ab/ci+a/b/ci+abci=abciCo=/abci+a/bci+ab/ci

40、+abci=ab+aci+bci1.5 1.5 二进制数的运算及其加法电路二进制数的运算及其加法电路由多个一位全加器把进位信号串联而成,每个全加器都有由多个一位全加器把进位信号串联而成,每个全加器都有2级门的延级门的延迟时间,故这种迟时间,故这种n位加法器有位加法器有2n级门的延迟时间。级门的延迟时间。abscicoabscicoabscicoFAabscicocicoabaaaabbbbsssss4. 4. 多位多位二进制数的加法电路设计二进制数的加法电路设计FAFAFA1.5 1.5 二进制数的运算及其加法电路二进制数的运算及其加法电路1.6 1.6 计算机中的编码计算机中的编码1.1.二

41、进制数值数据的编码方法二进制数值数据的编码方法(1)(1)基本概念:无符号数、有符号数、机器数、真值。基本概念:无符号数、有符号数、机器数、真值。机器中,数的符号用机器中,数的符号用“0 0”、“1 1” 表示,最高位作符号位,表示,最高位作符号位,“0 0”表示表示“+ +”,“1 1”表示表示“- -”。机器数:机器中数的表示形式,其位数通常为机器数:机器中数的表示形式,其位数通常为8 8的倍数。的倍数。真值:真值: 机器数所代表的实际数值。机器数所代表的实际数值。举例举例: :一个一个8 8位机器数与它的真值对应关系如下:位机器数与它的真值对应关系如下:真值:真值: X1=+84=+10

42、10100B X2=-84= -1010100BX1=+84=+1010100B X2=-84= -1010100B机器数:机器数: X1X1机机= 01010100 X2= 01010100 X2机机= 11010100= 11010100有符号数通常使用三种表示方法有符号数通常使用三种表示方法: :原码、反码和补码。原码、反码和补码。(2)(2)原码原码(True Form)(True Form):最高位为符号位,最高位为符号位,0 0表示表示 “+ +”,1 1表示表示“”,数值位与真值数值位相同。,数值位与真值数值位相同。例例 8 8位原码机器数:位原码机器数: 真值:真值: x1 =

43、 +1010100B x1 = +1010100B x2 = x2 =1010100B1010100B 机器数:机器数:x1x1原原 = 01010100= 01010100x2x2原原 = = 1101010011010100原码表示简单直观原码表示简单直观, ,但但0 0的表示不唯一,加减运算复杂。的表示不唯一,加减运算复杂。1.6 1.6 计算机中的编码计算机中的编码(3)(3)反码(反码(OneOnes Complements Complement): :正数的反码与原码表示相同。负数反码符号位为正数的反码与原码表示相同。负数反码符号位为1 1,数值位为原码数值各位,数值位为原码数值各

44、位取反。取反。例例 8 8位反码机器数:位反码机器数: x= +4 x= +4 : xx原原= 00000100= 00000100xx反反= 00000100= 00000100 x= -4 x= -4 : xx原原= 10000100= 10000100xx反反= 11111011= 11111011(4)(4)补码(补码(TwoTwos Complements Complement): :正数的补码表示与原码相同。负数补码的符号位为正数的补码表示与原码相同。负数补码的符号位为1 1,数值位等于反码加,数值位等于反码加1 1。例:求例:求 8 8位补码机器数:位补码机器数:x=+4x=+4

45、 x x原原=x=x反反=x=x补补= 00000100= 00000100x=-4x=-4 x x原原 = 10000100= 10000100 x x反反 = 11111011 = 11111011 x x补补 = 11111100= 11111100补码表示的优点:补码表示的优点:0 0的表示唯一,加减运算方便。的表示唯一,加减运算方便。1.6 1.6 计算机中的编码计算机中的编码(5)8(5)8位机器数表示的真值位机器数表示的真值: :(6)(6)各种编码方法的表数范围各种编码方法的表数范围: : n+1 n+1位二进制编码位二进制编码x x表示的整数范围:表示的整数范围: 原码、反码

46、:原码、反码:-2-2n nx x2 2n n 补码:补码:-2-2n nxx2 2n n例如:例如:8 8位原码、反码的表数范围是位原码、反码的表数范围是-127-127+127+127,补码的表数范围是,补码的表数范围是-128-128+127+127;1616位原码、反码的表数范围是位原码、反码的表数范围是-32767-32767+32767+32767,补码的表数范围是,补码的表数范围是-32768-32768+32767+32767(7)(7)各种编码之间的相互转换:各种编码之间的相互转换:xx原原 xx补补: x0, xx0, x补补= x= x原原 ;x;x0,0,符号位不变,数

47、值位取反符号位不变,数值位取反+1+1。例例1 1:X1X1原原=01111111=7FH=01111111=7FH,X1X1补补=01111111=7FH=01111111=7FH X2 X2原原=11111111=FFH=11111111=FFH,X2X2补补=10000001=81H=10000001=81H例例2 2:X1X1原原=59H=59H,X2X2原原=D9H=D9H,求真值?求真值? X1=+1011001B=+89 X2=-1011001B=-89X1=+1011001B=+89 X2=-1011001B=-89例例3 3:X1X1补补=59H=59H,X2X2补补=D9H

48、=D9H,求真值?求真值? X1=+1011001B=+89 X2=-0100111B=-39X1=+1011001B=+89 X2=-0100111B=-391.6 1.6 计算机中的编码计算机中的编码v原码、反码、补码表示小结:原码、反码、补码表示小结:正数的原码、反码、补码表示均相同,正数的原码、反码、补码表示均相同,符号位为符号位为0 0,数值位同数的真值。,数值位同数的真值。零的原码和反码均有零的原码和反码均有2 2个编码,补码只有个编码,补码只有1 1个编码。个编码。负数的原码、反码、补码表示均不同,负数的原码、反码、补码表示均不同,符号位为符号位为1 1,数值位:原码为数的绝对值

49、,数值位:原码为数的绝对值 反码为每一位均取反码反码为每一位均取反码 补码为反码再在最低位补码为反码再在最低位+1+1由由XX补补求求-X-X补补:每一位取反后:每一位取反后, ,再在最低位再在最低位+ +1 11.6 1.6 计算机中的编码计算机中的编码2.2.补码加法器补码加法器/ /减法器电路减法器电路(1)(1)补码加减法的运算规律补码加减法的运算规律: :X+YX+Y补补=X=X补补+Y+Y补补X-YX-Y补补=X=X补补+-Y+-Y补补-Y-Y补补= =对对YY补补逐位取反逐位取反( (包括符号位包括符号位),),再在最低位加再在最低位加1 1。例:设例:设x=1010x=1010

50、,y=-0101,y=-0101,则则xx补补=01010=01010,yy补补=11011, =11011, x x补补+y+y补补=00101=00101 x+y x+y补补= 1010-0101= 1010-0101补补= 0101= 0101补补=00101,=00101, 由此可见由此可见x+yx+y补补= x= x补补+y+y补补例:设例:设x=0101x=0101,则则-x=-0101,x-x=-0101,x补补=00101,-x=00101,-x补补=11011,=11011, 所以所以-x-x补补= =对对xx补补逐位取反逐位取反, ,再在最低位加再在最低位加1 1。1.6

51、1.6 计算机中的编码计算机中的编码(2)(2)补码加减法的电路实现补码加减法的电路实现: :8 8位二进制加法器电路:位二进制加法器电路:1.6 1.6 计算机中的编码计算机中的编码8 8位二进制数补码减法器电路:位二进制数补码减法器电路: 可控的可控的8 8位二进制数补码加减法器位二进制数补码加减法器(SUB=0,(SUB=0,加法加法,SUB=1,SUB=1,减法减法):):1.6 1.6 计算机中的编码计算机中的编码(3)(3)加减法运算的溢出问题:加减法运算的溢出问题:当运算结果超出机器数所能表示的范围时,称为溢出。显然,当运算结果超出机器数所能表示的范围时,称为溢出。显然,两个异号

52、数相加或两个同号数相减,其结果是不会溢出的。仅当两个异号数相加或两个同号数相减,其结果是不会溢出的。仅当两个同号数相加或者两个异号数相减时,才有可能发生溢出的现两个同号数相加或者两个异号数相减时,才有可能发生溢出的现象,一旦溢出,运算结果就不正确了,因此必须将溢出的情况检象,一旦溢出,运算结果就不正确了,因此必须将溢出的情况检查出来。查出来。判别溢出的方法:判别溢出的方法:无符号数溢出判断无符号数溢出判断最高位是否产生进位或借位。最高位是否产生进位或借位。 计算机设置进位标志位计算机设置进位标志位 Cy Cy 判断无符号数溢出:判断无符号数溢出: 当数据加当数据加/ /减最高位产生进位减最高位

53、产生进位/ /借位,借位,Cy=1Cy=1;否则否则,Cy=0,Cy=0。补码溢出判断补码溢出判断符号位和最高数值位进位是否相同。符号位和最高数值位进位是否相同。 计算机设置溢出标志位计算机设置溢出标志位 OV OV 判断补码溢出。判断补码溢出。逻辑关系:逻辑关系: OV=Cy6OV=Cy6 Cy7 Cy7 当补码加当补码加/ /减产生溢出减产生溢出 OV=1OV=1,否则否则OV=0OV=0。1.6 1.6 计算机中的编码计算机中的编码3.3.其它编码其它编码(1)(1)西文字符编码:美国标准信息交换码西文字符编码:美国标准信息交换码ASCIIASCII码,用于计算机与计算机、计码,用于计算

54、机与计算机、计算机与外设之间传递信息。用一个字节表示一个字符,其中低算机与外设之间传递信息。用一个字节表示一个字符,其中低7 7位为字符的编位为字符的编码值码值,最高位一般用作校验位。最高位一般用作校验位。1.6 1.6 计算机中的编码计算机中的编码(2)(2)汉字编码:汉字编码: GB2312-80GB2312-80国标码,用两个字节表示一个汉字的编码。国标码,用两个字节表示一个汉字的编码。 汉字的区号汉字的区号+A0H=+A0H=汉字机内码的高字节汉字机内码的高字节 汉字的位号汉字的位号+A0H=+A0H=汉字机内码的低字节汉字机内码的低字节 例如:例如:啊啊的区号为十进制的的区号为十进制

55、的1616区,位号为区,位号为1 1。 相应的机内码为相应的机内码为B0A1HB0A1H(3)BCD(3)BCD码码( (Binary Coded Decimal)Binary Coded Decimal)二进制代码表示的十进制数:二进制代码表示的十进制数: 用用4 4位二进制数表示一位十进制数。位二进制数表示一位十进制数。8421 BCD8421 BCD码:码: 例:求十进制数例:求十进制数876876的的BCDBCD码码 876876BCDBCD = 1000 0111 0110 = 1000 0111 0110 876 = 36C 876 = 36CH H = 1101101100 =

56、1101101100B BBCDBCD码运算:码运算: 十进制调整:计算机实际按二进制法则计算,加入十进制调整操作,可计算十进制调整:计算机实际按二进制法则计算,加入十进制调整操作,可计算BCDBCD码。码。 十进制调整方法:当计算结果有非十进制调整方法:当计算结果有非BCDBCD码或产生进位或借位,进行加码或产生进位或借位,进行加6 6或减或减6 6调整。调整。1.6 1.6 计算机中的编码计算机中的编码例:计算例:计算BCDBCD码码 78+69=78+69=? 0111 10000111 1000 78 78BCDBCD + +0110 10010110 1001 + 69+ 69BCD

57、BCD 1110 0001 1110 0001 产生非产生非BCDBCD码和半进位码和半进位 + 0110 + 0110 01100110 +66H +66H调整调整 1 0100 0111 1 0100 0111 带进位结果:带进位结果:147 147 1.6 1.6 计算机中的编码计算机中的编码1.7 1.7 浮点数基本概念浮点数基本概念浮点数:浮点数:浮点数:浮点数:floating point numberfloating point numberfloating point numberfloating point number定点数表示方法的缺点:精度低、表数范围小。定点数表示方法

58、的缺点:精度低、表数范围小。定点数表示方法的缺点:精度低、表数范围小。定点数表示方法的缺点:精度低、表数范围小。IEEE(InstituteIEEE(InstituteIEEE(InstituteIEEE(Institute of Electrical and Electronic Engineers)754 of Electrical and Electronic Engineers)754 of Electrical and Electronic Engineers)754 of Electrical and Electronic Engineers)754单精度单精度单精度单精度(sin

59、gle-precision)(single-precision)(single-precision)(single-precision)浮点数格式:浮点数格式:浮点数格式:浮点数格式:IEEE754IEEE754IEEE754IEEE754浮点数由浮点数由浮点数由浮点数由3 3 3 3部分构成:符号部分构成:符号部分构成:符号部分构成:符号(sign)(sign)(sign)(sign)、阶码、阶码、阶码、阶码(exponent)(exponent)(exponent)(exponent)和尾数和尾数和尾数和尾数(fraction)(fraction)(fraction)(fraction)。

60、单精度的格式为。单精度的格式为。单精度的格式为。单精度的格式为32323232位,见下图:位,见下图:位,见下图:位,见下图:sef022233031其中其中其中其中s s s s为符号位,为符号位,为符号位,为符号位,0 0 0 0表示正数,表示正数,表示正数,表示正数,1 1 1 1表示负数;表示负数;表示负数;表示负数;e e e e为阶码,为阶码,为阶码,为阶码,8 8 8 8位,采用移码,移码值位,采用移码,移码值位,采用移码,移码值位,采用移码,移码值为为为为127127127127,e e e e的最小值的最小值的最小值的最小值0 0 0 0和最大值和最大值和最大值和最大值255

61、255255255做特殊用途,因此正常的移码表示的范围为做特殊用途,因此正常的移码表示的范围为做特殊用途,因此正常的移码表示的范围为做特殊用途,因此正常的移码表示的范围为126126126126127127127127;f f f f是尾数,是尾数,是尾数,是尾数,23232323位长,由于在规格化位长,由于在规格化位长,由于在规格化位长,由于在规格化(normalized)(normalized)(normalized)(normalized)的二进制浮点的二进制浮点的二进制浮点的二进制浮点数中,小数点前面的一位数总是数中,小数点前面的一位数总是数中,小数点前面的一位数总是数中,小数点前面的

62、一位数总是1 1 1 1,故可将这个,故可将这个,故可将这个,故可将这个1 1 1 1省略,不出现在省略,不出现在省略,不出现在省略,不出现在32323232位浮点数位浮点数位浮点数位浮点数格式中,称其为隐藏位格式中,称其为隐藏位格式中,称其为隐藏位格式中,称其为隐藏位(hidden bit)(hidden bit)(hidden bit)(hidden bit)。因此,上述规格化的单精度浮点数所。因此,上述规格化的单精度浮点数所。因此,上述规格化的单精度浮点数所。因此,上述规格化的单精度浮点数所表示的数值为:表示的数值为:表示的数值为:表示的数值为:v=(-1)v=(-1)v=(-1)v=(

63、-1)s s s s2 2 2 2e-127e-127e-127e-1271.f1.f1.f1.f例题:求下面例题:求下面例题:求下面例题:求下面IEEE754IEEE754IEEE754IEEE754单精度浮点数的十进制数表示值:单精度浮点数的十进制数表示值:单精度浮点数的十进制数表示值:单精度浮点数的十进制数表示值: 0 10000001 000110000000000000000000 10000001 000110000000000000000000 10000001 000110000000000000000000 10000001 解:解:解:解:v=(-1)v=(-1)v=(-1

64、)v=(-1)0 0 0 02 2 2 2129-127129-127129-127129-1271.00011000000000000000000B1.00011000000000000000000B1.00011000000000000000000B1.00011000000000000000000B =2 =2 =2 =22 2 2 21.00011000000000000000000B1.00011000000000000000000B1.00011000000000000000000B1.00011000000000000000000B =4 =4 =4 =41.09375=4.37

65、51.09375=4.3751.09375=4.3751.09375=4.375除了以上的规格化浮点数之外,除了以上的规格化浮点数之外,除了以上的规格化浮点数之外,除了以上的规格化浮点数之外,IEEE754IEEE754IEEE754IEEE754还规定了以下还规定了以下还规定了以下还规定了以下4 4 4 4种特殊情况:种特殊情况:种特殊情况:种特殊情况:(1 1 1 1)0 0 0 0,0 0 0 0:如果:如果:如果:如果e e e e0 0 0 0且且且且f=0,f=0,f=0,f=0,则则则则v=(-1)v=(-1)v=(-1)v=(-1)s s s s0 0 0 0(2 2 2 2)

66、DNRM-DNRM-DNRM-DNRM-非规格化数非规格化数非规格化数非规格化数( ( ( (denormalizeddenormalizeddenormalizeddenormalized):):):):如果如果如果如果e e e e0 0 0 0但但但但f0,f0,f0,f0,则则则则v=DNRMv=DNRMv=DNRMv=DNRM(3 3 3 3),正负无穷大:如果正负无穷大:如果正负无穷大:如果正负无穷大:如果e e e e255255255255且且且且f=0,f=0,f=0,f=0,则则则则v=(-1)v=(-1)v=(-1)v=(-1)s s s s(4 4 4 4)NaNNaN

67、NaNNaN- - - -不是一个数不是一个数不是一个数不是一个数(not a number)(not a number)(not a number)(not a number):如果:如果:如果:如果e e e e255255255255且且且且f0,f0,f0,f0,则则则则v=v=v=v=NaNNaNNaNNaN除除除除0 0 0 0之外,之外,之外,之外,IEEE754IEEE754IEEE754IEEE754单精度浮点数所能表示的绝对值最小的数为单精度浮点数所能表示的绝对值最小的数为单精度浮点数所能表示的绝对值最小的数为单精度浮点数所能表示的绝对值最小的数为2 2 2 2126126

68、126126除除除除 之外,之外,之外,之外,IEEE754IEEE754IEEE754IEEE754单精度浮点数所能表示的绝对值最大的数为单精度浮点数所能表示的绝对值最大的数为单精度浮点数所能表示的绝对值最大的数为单精度浮点数所能表示的绝对值最大的数为 2 2 2 2127127127127(2-2(2-2(2-2(2-2-23-23-23-23) ) ) )IEEE754IEEE754IEEE754IEEE754双精度双精度双精度双精度(double-precision)(double-precision)(double-precision)(double-precision)浮点数格式:

69、浮点数格式:浮点数格式:浮点数格式:sef051526263上述规格化的双精度浮点数所表示的数值为:上述规格化的双精度浮点数所表示的数值为:上述规格化的双精度浮点数所表示的数值为:上述规格化的双精度浮点数所表示的数值为:v=(-1)v=(-1)v=(-1)v=(-1)s s s s2 2 2 2e-1023e-1023e-1023e-10231.f1.f1.f1.f其它其它其它其它4 4 4 4种特殊情况的定义于单精度的定义类似。只是把种特殊情况的定义于单精度的定义类似。只是把种特殊情况的定义于单精度的定义类似。只是把种特殊情况的定义于单精度的定义类似。只是把127127127127换成换成换

70、成换成1023102310231023,把,把,把,把255255255255换成换成换成换成2047204720472047。1.7 1.7 浮点数基本概念浮点数基本概念1 1、将、将1616进制的进制的0-F0-F用用4 4位位2 2进制表达出来,并熟记。进制表达出来,并熟记。2 2、(111)(111)X X=273=273,基数基数X=X=?3 3、有一个二进制小数有一个二进制小数X=0.XX=0.X1 1X X2 2X X3 3X X4 4X X5 5X X6 6 (1) (1)若使若使X1/2X1/2,则,则X X1 1X X6 6应满足什么条件应满足什么条件? ? (2) (2)

71、若使若使X X1/81/8,则,则X X1 1X X6 6应满足什么条件应满足什么条件? ? 4 4、十进制数转换为二进制数:、十进制数转换为二进制数:56,36.875,59,0.6875,58.7556,36.875,59,0.6875,58.75 二二 进进 制制 数数 转转 换换 为为 十十 进进 制制 数数 、 八八 进进 制制 数数 、 十十 六六 进进 制制 数数 : : 10101,1101.01,10011010.1011,111010.1110101,1101.01,10011010.1011,111010.11 八进制数八进制数253.74253.74转换成二进制数转换成

72、二进制数 十六进制数十六进制数1CB.D81CB.D8转换成二进制数转换成二进制数5 5、一个用十六进制表示的两位整数,如果改用十进制数表示,、一个用十六进制表示的两位整数,如果改用十进制数表示,顺序正好颠倒,该数是多少?顺序正好颠倒,该数是多少?第第1 1章章 练习题练习题6 6、选择题、选择题(1)(1)与十进制数与十进制数5656等值的二进制数是等值的二进制数是 。A A A A)111000 B111000 B111000 B111000 B)111001 C111001 C111001 C111001 C)101111 D101111 D101111 D101111 D)110110

73、110110110110110110(2)(2)十进制数十进制数36.87536.875转换成二进制数是转换成二进制数是 。A A A A)110100.01 B)100100.111 C)100110.11 D)100101.101110100.01 B)100100.111 C)100110.11 D)100101.101110100.01 B)100100.111 C)100110.11 D)100101.101110100.01 B)100100.111 C)100110.11 D)100101.101(3)(3)十进制数十进制数5959转换成八进制数是转换成八进制数是 。A A A

74、A)73Q B73Q B73Q B73Q B)37Q C37Q C37Q C37Q C)59Q D59Q D59Q D59Q D)112Q112Q112Q112Q(4)(4)将十进制小数将十进制小数0.68750.6875转换成八进制小数是转换成八进制小数是 。A A A A)0.045Q B)0.054Q C)0.54Q D)0.45Q0.045Q B)0.054Q C)0.54Q D)0.45Q0.045Q B)0.054Q C)0.54Q D)0.45Q0.045Q B)0.054Q C)0.54Q D)0.45Q(5)(5)与十进制数与十进制数58.7558.75等值的十六进制数是等值

75、的十六进制数是 。A A A A)A3.CH B)3A.CH C)3A.23H D)C.3AHA3.CH B)3A.CH C)3A.23H D)C.3AHA3.CH B)3A.CH C)3A.23H D)C.3AHA3.CH B)3A.CH C)3A.23H D)C.3AH(6)(6)二进制数二进制数1010110101转换成十进制数是转换成十进制数是 。A A A A)25 B25 B25 B25 B)23 C23 C23 C23 C)21 D21 D21 D21 D)22222222第第1 1章章 练习题练习题7 7、求下列数据的原码、反码和补码、求下列数据的原码、反码和补码( (用用8

76、8位二进制代码表示位二进制代码表示) ): -127-127,-1-1,127127,1 1,1001008 8、XX补补=80H,X=80H,X真真=?=?,XX原原=?=? X X反反=7FH,X=7FH,X真真=?=?,XX原原=?=? X X补补=FFH,X=FFH,X真真=?=?,XX原原=?=? X X反反=80H,X=80H,X真真=?=?,XX原原=?=?9 9、下列数值或字符串表示成相应的、下列数值或字符串表示成相应的ASCIIASCII码是多少?码是多少?(1 1)换行)换行 (2 2)字母)字母A A (3 3)空格空格 (4 4)9 91010、求下列各机器数所表示数的

77、范围:、求下列各机器数所表示数的范围: (1 1)8 8位二进制无符号整数;位二进制无符号整数;(2 2)用补码表示的)用补码表示的8 8位二进制有符号整数;位二进制有符号整数;1111、有两个二进制数、有两个二进制数X=01101010X=01101010,Y=10001100Y=10001100,试比较它们的大小。试比较它们的大小。 (1 1)X X和和Y Y两个数均为无符号数;两个数均为无符号数; (2 2)X X和和Y Y两个数均为有符号的补码数。两个数均为有符号的补码数。第第1 1章章 练习题练习题1212、十十进进制制负负数数-61-61的的八八位位二二进进制制原原码码是是 ,八八

78、位位二二进进制制反反码码是是 。A A A A)10101111 B10101111 B10101111 B10101111 B)10111101 C10111101 C10111101 C10111101 C)10101011 D10101011 D10101011 D10101011 D)001101010011010100110101001101011313、十进制正数、十进制正数3838的八位二进制补码是的八位二进制补码是 。A A A A)00011001 B00011001 B00011001 B00011001 B)10100110 C10100110 C10100110 C10

79、100110 C)10011001 D10011001 D10011001 D10011001 D)001001100010011000100110001001101414、十进制负数、十进制负数-38-38的八位二进制补码是的八位二进制补码是 。A A A A)01011011 B01011011 B01011011 B01011011 B)10100110 C10100110 C10100110 C10100110 C)10011001 D10011001 D10011001 D10011001 D)001001100010011000100110001001101515、有一个八位二进制数补码是、有一个八位二进制数补码是1111110111111101,其相应的十进制数是,其相应的十进制数是 。A A)-3 B-3 B)-2 C-2 C)509 D509 D)2532531616、十进制数、十进制数-75-75用二进制数用二进制数1011010110110101表示,其表示方式是表示,其表示方式是 。A A A A)原码原码原码原码 B B B B)补码补码补码补码 C C C C)反码反码反码反码 D D D D)ASCIIASCIIASCIIASCII码码码码第第1 1章章 练习题练习题

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号