2020年半导体设备行业报告:半导体产业链解析

上传人:ni****g 文档编号:576636444 上传时间:2024-08-20 格式:PPT 页数:42 大小:3.17MB
返回 下载 相关 举报
2020年半导体设备行业报告:半导体产业链解析_第1页
第1页 / 共42页
2020年半导体设备行业报告:半导体产业链解析_第2页
第2页 / 共42页
2020年半导体设备行业报告:半导体产业链解析_第3页
第3页 / 共42页
2020年半导体设备行业报告:半导体产业链解析_第4页
第4页 / 共42页
2020年半导体设备行业报告:半导体产业链解析_第5页
第5页 / 共42页
点击查看更多>>
资源描述

《2020年半导体设备行业报告:半导体产业链解析》由会员分享,可在线阅读,更多相关《2020年半导体设备行业报告:半导体产业链解析(42页珍藏版)》请在金锄头文库上搜索。

1、行业深度报告内容目录1. 半导体产业链解析 .71.1. 半导体产业运作的两种模式:IDM 和垂直分工模式.71.2. 硅片制造 .81.3. 晶圆制造 .101.3.1. 热处理工艺 . 111.3.2. 光刻工艺. 111.3.3. 刻蚀工艺.141.3.4. 离子注入工艺.171.3.5. 薄膜沉积工艺.181.3.6. 化学机械研磨工艺 .221.3.7. 清洗 .221.4. 测试与封装.231.4.1. 测试 .231.4.2. 封装 .252. 产业格局不断变化,中国或将成为产业重心 .262.1. 行业进入新一轮上升周期.262.2. 全球产业转移,中国市场高速成长.263.

2、半导体设备市场再创新高,国产化替代空间广阔 .303.1. 全球半导体设备市场或超 710 亿美元 .303.2. 细分市场高度集中,海外龙头处于垄断地位.313.3. 国内半导体设备市场将超千亿,国产替代空间广阔 .353.4. 政策资金双轮驱动,助力半导体设备国产化.363.5. 国产设备厂商奋起直追,设备国产化进行时.383.5.1. 平台型国产半导体设备龙头:北方华创.383.5.2. 国产刻蚀设备的先行者:中微公司 .393.5.3. 深耕测试机领域的国产设备商:华峰测控 .404. 行业评级.435. 风险提示.442 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告图表

3、目录图 1:全球半导体市场规模(亿美元) .7图 2:半导体产业链 .7图 3:半导体产业两种运作模式代表企业.8图 4:直拉单晶制造法.9图 5:CZ 单晶炉结构示意图 .9图 6:半导体单晶硅棒拉晶设备 .9图 7:晶盛机电硅片加工设备产品矩阵 .10图 8:晶圆制造流程 .10图 9:北方华创 THEORIS 立式氧化炉 . 11图 10:长江存储热处理设备中标比例. 11图 11:光刻工艺流程图.12图 12:ASML EUV 光刻机.12图 13:2019 年各类光刻机销售情况.12图 14:上海微电子发展历程 .13图 15:国内涂胶显影设备市场格局.14图 16:芯源微涂胶显影机

4、.14图 17:湿法刻蚀原理图.14图 18:等离子刻蚀原理图.14图 19:具有多晶硅栅和铝金属化 CMOS 芯片刻蚀工艺.15图 20:电容性等离子体刻蚀反应腔.15图 21:电感性等离子体刻蚀反应腔.15图 22:原子层刻蚀工艺.15图 23:刻蚀设备反应腔.16图 24:全球刻蚀设备市场格局.16图 25:国内刻蚀设备生产商 .16图 26:离子注入机示意图.17图 27:离子注入机细分市场格局 .17图 28:Varian VIISta 900 离子注入机.18图 29:IC 集成电路离子注入机市场格局 .18图 30:CVD 与 PVD 工艺比较 .18图 31:化学薄膜沉积工艺过

5、程.18图 32:三种 CVD 系统示意图 .193 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告图 33:全球 CVD 设备市场格局.19图 34:各类 CVD 设备占比.19图 35:北方华创 LPCVD 设备 .20图 36:沈阳拓荆 12 英寸 PECVD 设备 PF-300T.20图 37:北方华创 Polaris A 系列 ALD 设备 .20图 38:沈阳拓荆 12 英寸 ALD 设备 FT-300T.20图 39:电子束蒸镀示意图.21图 40:溅镀系统示意图.21图 41:AMAT PVD 设备.21图 42:全球 PVD 设备市场格局.21图 43:CMP 工

6、艺在集成电路中的应用 .22图 44:CMP 系统示意图.22图 45:全球清洗设备市场格局.23图 46:盛美单片槽式组合清洗设备.23图 47:半导体测试在产业中的应用.23图 48:泰瑞达 Magnum 2 存储器测试机 .24图 49:全球半导体测试机格局.24图 50:国内测试机分类占比 .24图 51:国内模拟测试机市场格局 .24图 52:TEL PrecioXL 探针台 .25图 53:全球探针台市场格局 .25图 54:全球半导体销售额.26图 55:全球半导体销售额(亿美元).27图 56:中国半导体销售额(亿美元).27图 57:我国集成电路进出口数量 .28图 58:我

7、国芯片设计企业数量.28图 59:我国芯片设计企业销售额 .28图 60:全球半导体设备销售额.30图 61:2020 年半导体设备市场结构(不包括硅片制造设备).30图 62:2020 年各地区半导体设备销售额.30图 63:全球各地区半导体设备市场规模(亿美元) .31图 64:2018 年全球半导体设备市场格局.31图 65:2019 年全球半导体设备市场格局.314 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告图 66:半导体设备投资占比 .32图 67:晶圆制造设备投资占比.32图 68:全球光刻机销售数量(台).32图 69:ASML 营业收入情况 .33图 70:A

8、SML 毛利率及净利率变化情况 .33图 71:ASML 研发费用情况 .34图 72:泛林半导体营业收入 .34图 73:2020 年应用材料营收业务分布 .35图 74:2020 年应用材料营收地区分布 .35图 75:应用材料营业收入.35图 76:中国大陆半导体设备市场规模.36图 77:2019 年中国大陆半导体设备国产化率 .36图 78:2019 年国产集成电路设备企业 TOP5.36图 79:北方华创营业收入.39图 80:北方华创归母净利润 .39图 81:中微公司 Primo HD-RIE 系列 CCP 刻蚀机 .40图 82:中微公司 Primo nanova 系列 IC

9、P 刻蚀机 .40图 83:中微公司营业收入.40图 84:中微公司归母净利润 .40图 85:华峰测控 STS8200 测试机.41图 86:2019 年华峰测控业务构成 .41图 87:华峰测控营业收入.41图 88:华峰测控归母净利润 .41图 89:华峰测控盈利水平.41图 90:华峰测控研发费用.41图 91:半导体设备行业市盈率(截至 2021.01.05) .43图 92:2019 年至今半导体设备行业指数和上证综合指数收益率对比(截至2021.01.05) .43表 1:半导体产业运作模式对比 .8表 2:半导体硅片制造工艺简介 .9表 3:硅片制造相关设备主要生产商.10表

10、4:氧化工艺的用途. 115 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告表 5:光刻工艺流程简介.12表 6:上海微电子光刻机产品参数.13表 7:华卓精科光刻机双工件台产品.13表 8:湿法刻蚀和干法刻蚀对比 .14表 9:中微公司各关键尺寸的刻蚀应用情况 .16表 10:北方华创集成电路刻蚀机产品.17表 11:离子注入与扩散工艺比较 .17表 12:三种 CVD 工艺对比.19表 13:蒸发和溅镀 PVD 工艺对比 .20表 14:我国 PVD 工艺进展情况.21表 15:半导体清洗的污染物种类、来源及危害 .23表 16:测试机发展历史.24表 17:不同种类分选机比较

11、 .25表 18:2019 年全球晶圆产能 TOP5 .27表 19:2020 年第三季度全球封测厂排名.28表 20:2020 年第四季度全球前十大晶圆代工厂营收排名 .29表 21:中国大陆在建及规划晶圆厂情况 .29表 22:全球主要半导体设备厂商 .32表 23:泛林半导体刻蚀设备发展历史.34表 24:我国半导体产业政策梳理 .36表 25:新时期促进集成电路产业和软件产业高质量发展的若干政策旧财税政策变化.37表 26:新时期促进集成电路产业和软件产业高质量发展的若干政策新增财税政策 37表 27:国家集成电路产业基金一期投资领域.37表 28:国内外半导体设备公司对比(截至 20

12、21 年 1 月 6 日).38表 29:北方华创承担国家重大科技专项 .39表 30:华峰测控募投项目(万元).426 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告1. 半导体产业链解析半导体指常温下导电性能介于导体与绝缘体之间的材料。半导体产品按照功能区分可以分为集成电路、光电子器件、分立器件和传感器等四大类。其中集成电路是半导体产业的核心,根据 WSTS 数据,2020 年集成电路市场规模占到了半导体市场的 82%。图 1:全球半导体市场规模(亿美元)5,000分立器件光电子传感器集成电路4,5004,0003,5003,0002,5002,0001,5001,000500

13、0资料来源:WSTS,德邦研究所半导体产业链可按照主要生产过程进行划分,整体可分为上游中游下游。以半导体中占比最高的集成电路产业为例,上游包括半导体材料、生产设备、EDA、IP 核。EDA,即电子设计自动化(Electronics Design Automation),主要包括设计工具和设计软件。IP 核(Intellectual Property Core)提供已经完成逻辑设计或物理设计的芯片功能模块,通过授权允许客户将其集成在 IC 设计中。中游包括设计、制造、封测三大环节。下游主要为半导体应用,主要包括 3C 电子、医疗、通信、物联网、信息安全、汽车、新能源、工业等。图 2:半导体产业链

14、资料来源:电子发烧友,德邦研究所1.1. 半导体产业运作的两种模式:IDM 和垂直分工模式半导体产业运作主要有两种模式,即IDM模式和垂直分工模式。如前文所述,半导体整个制造过程主要包括芯片设计、晶圆制造和封装测试三大环节。所谓 IDM(Integrated Device Manufacture)模式,即由一个厂商独立完成芯片设计、制造和封装三大环节,英特尔和三星是全球最具代表性的 IDM 企业。另一种模式为垂直分工模式,即 Fabless(无晶圆制造的设计公司)+Foundry(晶圆代工厂)+OSAT7 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告(封装测试企业),Fables

15、s 是指专注于芯片设计业务,只负责芯片的电路设计与销售,将生产、测试、封装等环节外包的设计企业,代表企业有高通、英伟达、AMD 等;Foundry 即晶圆代工厂,指只负责制造、封测的一个或多个环节,不负责芯片设计,可以同时为多家设计公司提供服务的企业,代表企业有台积电、中芯国际等。OSAT 指专门从事半导体封装和测试的企业。图 3:半导体产业两种运作模式代表企业资料来源:德邦研究所整理在台积电成立以前,半导体行业只有 IDM 一种模式。IDM 模式的优势在于资源的内部整合优势,以及具有较高的利润率。IDM 模式贯穿整个半导体生产流程,不存在工艺流程对接问题,新产品从开发到面市的时间较短,且因为

16、覆盖前端的IC 设计和末端的品牌营销环节,具有较高的利润率水平。但其公司规模庞大、管理成本和运营费用较高,同时半导体生产需要庞大的资本支出,使得行业内只有极大的几家 IDM 企业能够生存。表 1:半导体产业运作模式对比模式特点优势劣势代表企业设计、制造等环节协同优化,有助于充分发掘技术潜力;能有条件率先实验并推行新的半导体技术集设计、制造、封装和测试等多个产业链环节于一身公司规模庞大,管理成本较高;运营费用较高,资本回报率偏低。英特尔、三星、德州仪器IDM资产较轻,初始投资规模 与 IDM 相比无法与工艺协同小,创业难度相对较小;企 优化,因此难以完成指标严 高通、博通、英只负责芯片的电路设计

17、与Fabless 销售;将生产、测试、封业运行费用较低,转型相对 苛的设计;与 Foundry 相比 伟达、AMD装等环节外包。灵活需要承担各种市场风险只负责制造、封装或测试投资规模较大,维持生产线的其中一个环节;不负责 不承担由于市场调研不准、 正常运作费用较高;需要持 台积电、中芯国芯片设计;可以同时为多 产品设计缺陷等决策风险 续投入维持工艺水平,一旦 际、格罗方德Foundry家设计公司提供服务落后追赶难度较大资料来源:电子发烧友,德邦研究所半导体制造业具有明显的规模经济效应,扩大规模可以显著降低单位产品的成本,提高企业竞争力,降低产品价格,垂直分工模式应运而生。一方面,垂直分工模式使

18、得 Fabless 投资规模较小,运行费用较低,因此涌现出了大量的优质的芯片设计企业。另一方面,Foundry 能够最大化的利用产能,提高资本支出的收益率。但垂直分工模式可能会因芯片设计和生产无法顺利协同,导致芯片从设计到面市的时间过长,给芯片设计厂商造成损失。1.2. 硅片制造半导体设备主要应用在半导体产业链中的晶圆制造和封装测试环节。硅片制造是半导体制造的第一大环节,硅片制造主要通过硅料提纯、拉晶、整型、切片、研磨、刻蚀、抛光、清洗等工艺将硅料制造成硅片,然后提供给晶圆加工厂。8 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告表 2:半导体硅片制造工艺简介步骤描述将天然硅磨成很

19、细的粉末,然后放入反应炉中与氯化氢和氢气经过一系列反应,得到电子级的硅料。硅料提纯晶体生长 将电子级硅与单晶硅籽晶一起融化,通过克洛斯基(CZ)法提拉出所需要的单晶硅棒。将单晶硅棒两边的末端切除,并对硅棒侧面进行研磨,然后再晶棒侧面磨出平边或缺口标识其晶格整型方向。切片研磨和倒角 利用机械方式将晶圆边缘磨光,然后对晶圆使用传统研磨料进行粗磨抛光。刻蚀 利用湿法刻蚀出去锯切过程,研磨过程造成的粒子和损伤。CMP 抛光 对晶圆进行化学机械抛光,提升晶圆表明的平整度。清洗 利用酸和氧化物混合物去除有机和无机的污染物和粒子。将整型后的硅棒进行切片形成晶圆。检测和包装 对加工好的硅片进行相应的检测,确定

20、符合标准后,进行包装。资料来源:半导体制造技术导论,德邦研究所半导体工业中有两种常用方法生产单晶硅,即直拉单晶制造法(CZ 法)和悬浮区熔法(FZ 法)。CZ 法是硅片制造常用的方法,它较 FZ 法有较多优点,例如只有 CZ 法能够做出直径大于 200mm 的晶圆,并且它的价格较为便宜。CZ 法的原理是将多晶硅硅料置于坩埚中,使用射频或电阻加热线圈加热熔化,待温度超过硅的熔点温度后,将籽晶浸入、熔接、引晶、放肩、转肩等径等步骤,完成一根单晶硅棒的拉制。图 4:直拉单晶制造法资料来源:SUMCO 官网,德邦研究所图 5:CZ 单晶炉结构示意图图 6:半导体单晶硅棒拉晶设备资料来源:SUMCO 官

21、网,德邦研究所资料来源:Ferrotec 官网,德邦研究所单晶生长炉是生产单晶硅的主要半导体设备。目前全球的单晶生长炉主要由9 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告美国 Kayex、德国 PVA TePla、日本 Ferrotec 等企业供应,国内的单晶生长炉企业主要包括晶盛机电、南京晶能、连城数控等。图 7:晶盛机电硅片加工设备产品矩阵资料来源:晶盛机电官网,德邦研究所单晶硅棒完成后,还需要经过一系列加工才能得到硅片成品,主要涉及的半导体设备有切片机、研磨机、湿法刻蚀机、清洗机、抛光机和量测机。目前上述硅片加工设备主要由日本、德国和美国厂商提供,国内仅有晶盛机电等少数厂

22、家推出了部分硅片加工设备,市场占有率较低。表 3:硅片制造相关设备主要生产商设备主要生产厂家单晶生长炉 美国 Kayex、德国 PVA TePla、日本 Ferrotec、晶盛机电、南京晶能、连城数控切片机研磨机清洗机东京精密、瑞士 HCT、中电科 45 所、晶盛机电东京精密、冈本机械、晶盛机电日本 DNS、盛美半导体、北方华创CMP 抛光机 东京精密、华海清科、晶盛机电量测机日本 Advantest、美国 MTI、韩国 Fortix、中科飞测、长川科技资料来源:各公司官网,德邦研究所1.3. 晶圆制造晶圆制造是半导体制造过程中最重要也是最复杂的环节,整个晶圆制造过程包括数百道工艺流程,涉及数

23、十种半导体设备。晶圆制造主要的工艺流程包括热处理、光刻、刻蚀、离子注入、薄膜沉积、化学机械研磨和清洗。图 8:晶圆制造流程资料来源:半导体制造技术导论,德邦研究所10 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告1.3.1. 热处理工艺热处理主要包括氧化、扩散和退火工艺。氧化是一种添加工艺,是将硅片放入高温炉中,加入氧气与之反应,在晶圆表面形成二氧化硅。扩散是通过分子热运动使物质由高浓度区移向低浓度区,利用扩散工艺可以在硅衬底中掺杂特定的掺杂物,从而改变半导体的导电率,但与离子注入相比扩散掺杂不能独立控制掺杂物浓度和结深,因此现在应用越来越少。退火是一种加热过程,通过加热使晶圆产

24、生特定的物理和化学变化,并在晶圆表面增加或移除少量物质。表 4:氧化工艺的用途用途说明示意图表面钝化通过形成密度非常高的二氧化硅,可能保护器件的表面和内部掺杂阻挡层 二氧化硅能够形成阻挡保护层,防止掺杂物入侵硅面表面绝缘体 氧化层可使金属层之间不发生短路,防止金属层之间发生感应氧化层起到介电质的功能,可以让氧化层下面的栅电极产生感器件绝缘体应电流资料来源:半导体制造技术导论,德邦研究所热处理工艺使用的半导体设备为氧化扩散设备,其实质为高温炉。高温炉分为直立式和水平式高温炉,高温炉主要包括五个基本组件:控制系统、工艺炉管、气体输送系统、气体排放系统和装载系统。高温炉必须具有稳定性、均匀性、精确的

25、温度控制、低微粒污染、高生产率和可靠性。图 9:北方华创 THEORIS 立式氧化炉图 10:长江存储热处理设备中标比例北方华创,31%进口设备,68%屹唐半导体, 1%资料来源:北方华创官网,德邦研究所资料来源:中国国际招标网,德邦研究所氧化扩散设备主要由东京电子、科意半导体和应用材料供应,国内的氧化扩散设备生产商主要包括北方华创和屹唐半导体。从长江存储的招标情况来看,氧化扩散设备还是以国外厂商设备为主,国内厂商北方华创市占率逐年上升,截至今年 10 月,从设备数量来看,北方华创热处理设备在长江存储的占比已经超过了30%,屹唐半导体占比 1%。1.3.2. 光刻工艺光刻是将设计好的电路图从光

26、刻版或倍缩光刻版转印到晶圆表面的光刻胶上,便于后续通过刻蚀和离子注入等工艺实现设计电路,是晶圆制造中最重要的技术。光刻工艺包括三个核心流程:涂胶、对准和曝光以及光刻胶显影。整个光刻过程需要经过八道工序:晶圆清洗、表面预处理、光刻胶自旋涂敷、软烘烤、对准、曝光、曝光后烘烤、显影、坚膜烘烤和图形检测。11 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告图 11:光刻工艺流程图资料来源:半导体制造技术导论,德邦研究所表 5:光刻工艺流程简介工艺流程描述清洗晶圆,去除前道工艺留下的污染物,同时增强光刻胶在晶圆上的附着力清洗表面预处理 第一步为加热,出去晶圆表面水汽;第二步为底漆层涂敷沉积,

27、增强光刻胶的附着力光刻胶自旋涂敷 光刻胶涂敷是一个沉积过程,液态光刻胶通过晶圆旋转产生的离心力散布到整个晶圆表面软烘烤光刻胶涂敷后再次加热烘烤,去除光刻胶中的溶剂,并使光刻胶从液态转变为固态对准和曝光 最关键的工艺过程,第一步将图形定位和对准,第二步将图形曝光到光刻胶上曝光后烘烤 曝光后对晶圆进行烘烤,去除曝光过程中形成的驻波效应显影硬烘烤检测除去曝光(或未曝光)部分光刻胶,将电路图复制到光刻胶上显影后烘烤除去光刻胶内的残余溶剂、增加光刻胶强度经过测试和检测工艺以确保光刻胶图形化参数资料来源:半导体制造技术导论,德邦研究所光刻工艺流程中最核心的半导体设备是光刻机,光刻机是半导体设备中技术壁垒最

28、高的设备,其研发难度大,价值量占晶圆制造设备中的 30%。目前全球的高端光刻机由荷兰 ASML 公司垄断,ASML 是全球最大的光刻机生产商,是全球唯一能够生产 EUV 光刻机的厂商,EUV 光刻机是先进制程工艺中的核心设备。中低端光刻机除 ASML 外,还有日本的 Canon 和 Nikon 可以供应。图 12:ASML EUV 光刻机图 13:2019 年各类光刻机销售情况140ASMLCanonNikon120100806040200EUVArF 浸没式 Arf 干法KrFi-line资料来源:ASML 官网,德邦研究所资料来源:各公司公告,德邦研究所目前国内具备光刻机生产能力的企业主要

29、是上海微电子装备有限公司。上海微电子装备(集团)股份有限公司(简称 SMEE)主要致力于半导体装备、泛半导体装12 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告备、高端智能装备的开发、设计、制造、销售及技术服务。公司设备广泛应用于集成电路前道、先进封装、FPD 面板、MEMS、LED、Power Devices 等制造领域。图 14:上海微电子发展历程资料来源:上海微电子官网,德邦研究所在集成电路领域,上海微电子产品主要包括光刻机和晶圆对准及缺陷检测设备。公司的光刻机产品有 SSX600 和 SSB500 两个系列,其中 SSX600 系列主要应用于 IC 前道光刻工艺,可满足

30、IC 前道制造 90nm、110nm、280nm 关键层和非关键层的光刻工艺需求;SSB500 系列光刻机主要应用于 IC 后道先进封装工艺。表 6:上海微电子光刻机产品参数型号SSA600/20SSC600/10SSB600/10280nmSSB500/40SSB500/50分辨率90nm110nm2m1m曝光光源 ArF excimer laser KrF excimer laser镜头倍率1:4 1:4i-line mercury lamp1:4ghi-line/gh line/i-line mercury lamp ghi-line/gh line/i-line mercury lam

31、p/硅片尺寸 200mm 或 300mm 200mm 或 300mm200mm 或 300mm200mm/300mm200mm/300mm资料来源:上海微电子公司官网,德邦研究所除上海微电子生产光刻机整机以外,国内还有华卓精科和国科精密从事光刻机零部件的研发和生产。华卓精科以光刻机双工件台这一超精密机械领域的尖端产品为核心,并以该产品的超精密测控技术为基础,开发了晶圆级键合设备、激光退火设备等整机产品。国科精密致力于极大规模集成电路光刻投影光学、显微光学、多光谱融合成像探测、超精密光机制造与检测等领域的高技术研究,同时开展相应各类高端光学仪器与装备产品的研发工作,2016 年公司研发的我国首套

32、用于高端 IC 制造的 NA0.75 投影光刻机物镜系统顺利交付用户。表 7:华卓精科光刻机双工件台产品产品系列产品图示产品特性技术参数研发/生产阶段采用磁悬浮平面电机驱动,多轴 运动平均偏差:4.5nm激光干涉位移测量。用于 I-line、KrF 和 ArF 干式光刻机,产率150 片/小时运动标准偏差:7nm最大速度:1.1m/s最大加速度:2.4gDWS 系列已发货运动平均偏差:2.5nm运动标准偏差:5nm最大速度:1.5m/s最大加速度:3.2g采用磁悬浮平面电机驱动,平面光栅干涉位移测量。用于 ArFi光刻机,产率150 片/小时DWSi 系列研发中资料来源:华卓精科招股说明书,德

33、邦研究所光刻工序所使用的半导体设备除了核心设备光刻机外,还需要涂胶显影设备。涂胶显影设备是光刻工序中与光刻机配套使用的涂胶、烘烤及显影设备,13 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告包括涂胶机、喷胶机和显影机,在 8 英寸及以上晶圆的大型生产线上,此类设备一般都与光刻设备联机作业,组成配套的圆片处理与光刻生产线,与光刻机配合完成精细的光刻工艺流程。全球的涂胶显影设备基本上被 TEL 垄断,国内涂胶显影设备厂有沈阳芯源微和盛美股份。图 15:国内涂胶显影设备市场格局图 16:芯源微涂胶显影机芯源微, 4%Screen, 5%TEL, 91%资料来源:global marke

34、t monitor,德邦研究所资料来源:芯源微招股说明书,德邦研究所1.3.3. 刻蚀工艺刻蚀是通过移除晶圆表面材料,在晶圆上根据光刻图案进行微观雕刻,将图形转移到晶圆表面的工艺。刻蚀分为湿法刻蚀和干法刻蚀,湿法刻蚀是利用化学溶液溶解晶圆表面的材料,干法刻蚀使用气态化学刻蚀剂与材料产生反应来刻蚀材料并形成可以从衬底上移除的挥发性副产品。由于等离子体产生促进化学反应的自由基能显著增加化学反应的速率并加强化学刻蚀,等离子体同时也会造成晶圆表面的离子轰击,故干法刻蚀一般都是采用等离子刻蚀。图 17:湿法刻蚀原理图图 18:等离子刻蚀原理图资料来源:半导体制造技术导论,德邦研究所资料来源:半导体制造技

35、术导论,德邦研究所表 8:湿法刻蚀和干法刻蚀对比指标湿法刻蚀干法刻蚀很小横向刻蚀长度刻蚀轮廓刻蚀速率选择性无法完成小于 3 微米的工艺等向性高可控,从非等向性到等向性可接受,可控可接受,可控高高设备费用低资料来源:半导体制造技术导论,德邦研究所集成电路芯片刻蚀工艺中包含多种材料的刻蚀,单晶硅刻蚀用于形成浅沟槽隔离,多晶硅刻蚀用于界定栅和局部连线,氧化物刻蚀界定接触窗和金属层间接触窗孔,金属刻蚀主要形成金属连线。14 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告图 19:具有多晶硅栅和铝金属化 CMOS 芯片刻蚀工艺资料来源:半导体制造技术导论,德邦研究所目前等离子刻蚀是晶圆制造中

36、使用的主要刻蚀方法,电容性等离子刻蚀(CCP)和电感性等离子刻蚀(ICP)是两种常用的等离子刻蚀方法。电容性等离子体刻蚀主要是以高能离子在较硬的介质材料上,刻蚀高深宽比的深孔、深沟等微观结构;而电感性等离子体刻蚀主要是以较低的离子能量和极均匀的离子浓度刻蚀较软的和较薄的材料。图 20:电容性等离子体刻蚀反应腔图 21:电感性等离子体刻蚀反应腔资料来源:中微公司招股说明书,德邦研究所资料来源:中微公司招股说明书,德邦研究所原子层刻蚀(ALE)是指通过一系列的自限制反应去除单个原子层,不会触及和破坏底层以及周围材料的先进半导体生产工艺。原子层刻蚀可以实现精准的控制,具有优秀的各向异性,是未来刻蚀工

37、艺的发展方向。图 22:原子层刻蚀工艺资料来源:Lam Research,德邦研究所15 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告刻蚀工艺使用的半导体设备为刻蚀机。全球刻蚀设备行业的主要企业即泛林半导体(Lam Research),东京电子(TEL)和应用材料(AMAT)三家。从全球刻蚀设备市场份额来看,三家企业的合计市场份额就占到了全球刻蚀设备市场的 90%以上。其中泛林半导体独占 52%的市场份额,东京电子与应用材料分别占据 20%和19%的市场份额图 23:刻蚀设备反应腔图 24:全球刻蚀设备市场格局其他, 9%AMAT,19%LamResearch,52%TEL, 2

38、0%资料来源:Lam Research,德邦研究所资料来源:前瞻产业研究院,德邦研究所国内的刻蚀设备企业主要有中微公司、北方华创、屹唐半导体和中电科。其中,中微公司、北方华创和屹唐半导体均以生产干法刻蚀设备为主,中电科除了生产干法刻蚀设备以外还生产湿法刻蚀设备。除上述企业外,国内还有创世微纳、芯源微和华林科纳等企业生产刻蚀设备。图 25:国内刻蚀设备生产商资料来源:前瞻产业研究院,德邦研究所国内刻蚀设备生产商中,中微公司在 CCP 刻蚀领域具备明显优势。在逻辑集成电路制造方面,公司的 CCP 刻蚀设备已经进入国际知名晶圆代工厂的先进制程生产线,用于 7/5 纳米器件的生产。在 3D NAND

39、芯片制造方面,公司的CCP 刻蚀设备技术可应用于 64 层的量产,同时公司根据存储器厂商的需求正在开发 96 层及更先进的刻蚀设备和工艺。表 9:中微公司各关键尺寸的刻蚀应用情况关键尺寸刻蚀应用65 纳米-22 纳米22 纳米-14 纳米14 纳米-7 纳米7 纳米、5 纳米钝化层、通孔、顶层通孔、顶层沟槽钝化层、顶层通孔、顶层沟槽钝化层、通孔顶层通孔、有机掩模层 、接触孔、沟槽、顶层沟槽有机掩模层、通孔、沟槽资料来源:中微公司招股说明书,德邦研究所16 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告北方华创主要覆盖 ICP 刻蚀设备,公司 ICP 刻蚀设备主要用于硅刻蚀和金属材料

40、的刻蚀,28nm 制程以上刻蚀设备已经实现产业化,在先进制程方面,公司硅刻蚀设备已经突破 14nm 技术,进入上海集成电路研发中心,与客户共同开展研发工作。表 10:北方华创集成电路刻蚀机产品设备应用领域NMC508M 8 英寸铝金属刻蚀机0.11-0.35um 制程集成电路金属互连线刻蚀工艺0.11-0.35um 制程集成电路(多晶硅栅极和浅沟槽隔离等)硅刻蚀工艺NMC508C 8 英寸硅刻蚀机NMC612C 12 英寸硅刻蚀机NMC612D 12 英寸硅刻蚀机90-40nm 制程集成电路浅沟槽隔离刻蚀和多晶硅栅极刻蚀28-14nm 制程集成电路的干法刻蚀设备,用于 FinFET,STI 和

41、Gate 刻蚀工艺NMC612M 12 英寸氮化钛金属硬掩膜刻蚀机应用于 40-14nm 制程集成电路的金属干法刻蚀资料来源:北方华创公司官网,德邦研究所1.3.4. 离子注入工艺离子注入是一种添加工艺,利用高能量带电离子束注入的形式,将掺杂原子强行掺入半导体中,从而控制半导体的导电率。离子注入提供了比扩散过程更好的掺杂工艺控制,例如在扩散工艺中掺杂物的浓度和结深无法独立控制,而在离子注入中可以通过离子束电流和注入时间控制掺杂物浓度,通过离子的能量控制掺杂物的结深,因此离子注入是目前半导体行业中的主要掺杂方法。表 11:离子注入与扩散工艺比较扩散高温,硬遮蔽层离子注入低温,光刻机作为遮蔽层非等

42、向性掺杂轮廓等向性掺杂轮廓不能独立控制掺杂浓度和结深批量工艺可以独立控制掺杂浓度和结深批量及单晶圆工艺资料来源:半导体制造技术导论,德邦研究所离子注入所使用的半导体设备为离子注入机,离子注入机是非常庞大的设备,包括了气体系统、电机系统、真空系统、控制系统和最重要的射线系统。根据离子束电流和束流能量范围,一般可以把离子注入机分为低能大束流离子注入机、高能离子注入机和中低束离子注入机。图 26:离子注入机示意图图 27:离子注入机细分市场格局高能离子注其他, 1%入机, 18%中低束流离大束流离子子注入机,20%注入机,61%资料来源:半导体制造技术导论,德邦研究所资料来源:前瞻产业研究院,德邦研

43、究所离子注入机可以应用在集成电路和光伏领域。在集成电路领域,全球的离子注入机为应用材料所垄断,其市场占有率达到了 70%,其次为 Axcelis,占据了近20%的市场份额。国内的离子注入机生产企业主要是凯世通和北京中科信, 2020年 12 月凯世通宣布拟向芯成科技出售 3 款 12 英寸集成电路离子注入机,国产离17 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告子注入机迈出了关键一步。图 28:Varian VIISta 900 离子注入机图 29:IC 集成电路离子注入机市场格局其他, 10%Axcelis,20%应用材料,70%资料来源:应用材料官网,德邦研究所资料来源:前

44、瞻产业研究院,德邦研究所1.3.5. 薄膜沉积工艺薄膜沉积是一种添加工艺,是指利用化学方法或物理方法在晶圆表面沉积一层电介质薄膜或金属薄膜,根据沉积方法可以分为化学气相沉积(CVD)和物理气相沉积(PVD) 。图 30:CVD 与 PVD 工艺比较资料来源:半导体制造技术导论,德邦研究所图 31:化学薄膜沉积工艺过程资料来源:半导体制造技术导论,德邦研究所18 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告CVD 是利用气态化学源材料在晶圆表面产生化学反应过程,在表面沉积一种固态物作为薄膜层。CVD 广泛应用在晶圆制造的沉积工艺中,包括外延硅沉积、多晶硅沉积、电介质薄膜沉积和金属薄

45、膜沉积。常用的化学气相沉积工艺包括常压化学气相沉积(APCVD)、低压化学气相沉积(LPCVD)和离子增强型化学气相沉积(PECVD) 。图 32:三种 CVD 系统示意图资料来源:半导体制造技术导论,德邦研究所APCVD 主要应用在二氧化硅和氮化硅的沉积,LPCVD 主要应用于多晶硅、二氧化硅及氮化硅的沉积。PECVD 通过等离子产生的自由基来增加化学反应速度,可以利用相对较低的温度达到较高的沉积速率,广泛应用于氧化硅、氮化硅、低 k、ESL 和其他电介质薄膜沉积。表 12:三种 CVD 工艺对比工艺优点缺点APCVD反应简单,沉积速度快,低温台阶覆盖能力差,有颗粒沾污,低出产率高纯度和均匀

46、性,一致的台阶覆盖能力,大 高温,低沉积速率,系统维护频繁,需要真空的硅片容量 系统低温,快速沉积,好的台阶覆盖能力,好的 需要射频系统,高成本,压力远大于张力,化间隙填充能力 学物质和颗粒玷污LPCVDPECVD资料来源:半导体制造技术,德邦研究所CVD 工艺使用的半导体设备是化学气相沉积设备,全球的化学气相沉积设备市场主要由应用材料、泛林半导体和东京电子所垄断,CR3 为 70%。从 CVD 设备种类来看,PECVD、APCVD 和 LPCVD 三类 CVD 设备合计市场份额约占总市场份额的 70%,仍旧是 CVD 设备市场的主流。图 33:全球 CVD 设备市场格局图 34:各类 CVD

47、 设备占比其他, 30%其他, 30%APCVD/LPCVD, 35%AMAT, 30%LamResearch,21%TEL, 19%PECVD,35%资料来源:前瞻产业研究院,德邦研究所资料来源:前瞻产业研究院,德邦研究所19 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告集成电路领域的国产 CVD 设备生产商主要有北方华创和沈阳拓荆。北方华创主要生产 APCVD 设备和 LPCVD 设备,沈阳拓荆则以 PECVD 为主,根据中国国际招标网数据,沈阳拓荆已有 3 台 PECVD 设备进入长江存储。图 35:北方华创 LPCVD 设备图 36:沈阳拓荆 12 英寸 PECVD 设备

48、 PF-300T资料来源:北方华创官网,德邦研究所资料来源:沈阳拓荆官网,德邦研究所原子层沉积(ALD)是一种可以将物质以单原子膜形式一层一层的镀在基底表面的方法。原子层沉积与普通的化学沉积有相似之处。但在原子层沉积过程中,新一层原子膜的化学反应是直接与之前一层相关联的,这种方式使每次反应只沉积一层原子。ALD 工艺可以更加精确控制薄膜的尺寸,对于 DRAM, 3D NAND 和逻辑 FinFET 制造中越来越重要,可能成为未来薄膜沉积的核心工艺。图 37:北方华创 Polaris A 系列 ALD 设备图 38:沈阳拓荆 12 英寸 ALD 设备 FT-300T资料来源:北方华创官网,德邦研

49、究所资料来源:沈阳拓荆官网,德邦研究所目前 ALD 设备尚未在集成电路行业中大规模使用,应用材料、泛林半导体和东京电子都已经推出了 ALD 设备,国内设备生产商在 ALD 设备方面也有布局。北方华创推出的 ALD 设备可以满足 28-14nm FinFET 和 3D NAND 原子层沉积工艺要求,目前正处于验证阶段。沈阳拓荆在已通过生产验证的 PECVD 平台上自主研发了原子层沉积设备,可应用于超大规模集成电路,OLED 及先进封装领域。表 13:蒸发和溅镀 PVD 工艺对比指标Vacuum EvaporationSputter阻抗加热法电子束蒸镀DC、RF磁控溅射适合高熔点材 适合合金、化合

50、物、高熔 适合合金、化合物、高熔点薄膜材料不适合高熔点材料料点材料;耐热材料材料;无要求基板材料成膜速度无要求较快无要求较快蒸镀原料一般较慢无需长时间供给良好较快靶材良好良好蒸发源供给生产性 膜厚均匀性蒸镀原料一般膜厚再现性 低于磁控溅射低于磁控溅射低于磁控溅射资料来源:前瞻产业研究院,德邦研究所20 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告物理气相沉积(PVD)是另一种重要的薄膜沉积工艺,PVD 是通过加热或溅射过程将固态材料气态化,然后使蒸汽在衬底表面凝结形成固态薄膜,常用的 PVD工艺有蒸发工艺和溅镀工艺。图 39:电子束蒸镀示意图图 40:溅镀系统示意图资料来源:半导

51、体制造技术导论,德邦研究所资料来源:半导体制造技术导论,德邦研究所PVD 工艺使用的半导体设备为 PVD 设备,全球 PVD 设备市场基本上为应用材料所垄断,其市场份额高达 85%,其次为 Evatec 和 Ulvac,市场份额分别为 6%和 5%。图 41:AMAT PVD 设备图 42:全球 PVD 设备市场格局其他, 4%Ulvac, 5%Evatec, 6%AMAT, 85%资料来源:应用材料官网,德邦研究所资料来源:前瞻产业研究院,德邦研究所国内在集成电路领域的 PVD 生产商主要为北方华创。北方华创突破了溅射源设计技术、等离子产生与控制技术、颗粒控制技术、腔室设计与仿真模拟技术、软

52、件控制技术等多项关键技术,实现了国产集成电路领域高端薄膜制备设备零的突破,设备覆盖了 90-14nm 多个制程。根据公司官网消息,公司 PVD 设备被国内先进集成电路芯片制造企业指定为 28nm 制程 Baseline 机台,并成功进入国际供应链体系。表 14:我国 PVD 工艺进展情况PVD 设备分类High kNi-Pt已量产制程(nm)在研制程(nm)/Ti40-11040-110/28TiN28Cu B/SHM28-651428AI pad28-11014资料来源:前瞻产业研究院,德邦研究所21 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告1.3.6. 化学机械研磨工艺化

53、学机械研磨(CMP)是一种移除工艺技术,该工艺结合化学反应和机械研磨去除沉积的薄膜,使得晶圆表面更加平坦和光滑。CMP 技术有多种优势,例如CMP 允许高解析度的光刻技术,可以减小过度曝光和显影的需求,允许更均匀的薄膜沉积从而减小刻蚀的时间。图 43:CMP 工艺在集成电路中的应用资料来源:半导体制造技术导论,德邦研究所CMP 工艺使用的半导体设备是化学机械研磨机。常见的 CMP 系统包括研磨衬垫、可以握住晶圆并使其表面向下接触研磨衬垫的自旋晶圆载具,以及一个研磨浆输配器装置。图 44:CMP 系统示意图资料来源:半导体制造技术导论,德邦研究所全球 CMP 设备市场主要由应用材料和荏原机械垄断

54、,其中应用材料占据了全球 70%的市场份额,荏原机械的市占率为 25%。国内 CMP 设备的主要研发生产单位有华海清科和北京烁科精微电子装备有限公司,其中华海清科是目前国内唯一实现 12 英寸系列 CMP 设备量产销售的半导体设备供应商,打破了国际厂商的垄断,填补国内空白并实现进口替代。1.3.7. 清洗清洗是贯穿晶圆制造的重要工艺环节,用于去除晶圆制造中各工艺步骤中可能存在的杂质,避免杂质影响芯片良率和芯片产品性能。目前,随着芯片制造工艺先进程度的持续提升,对晶圆表面污染物的控制要求不断提高,每一步光刻、刻蚀、沉积等重复性工序后,都需要一步清洗工序。清洗不仅应用于晶圆制造,在硅片制造和封装测

55、试过程中也必不可少。22 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告表 15:半导体清洗的污染物种类、来源及危害污染物颗粒来源主要危害环境,其他工艺工程中产生影响后续光刻,干法刻蚀工艺,造成器件短路影响后续氧化,沉积工艺,造成器件电性失效影响后续氧化工艺,造成器件电性失效影响后续沉积工艺,造成器件电性失效影响后续特定工艺,造成器件电性失效影响后续特定工艺,造成器件电性失效自然氧化物 环境金属污染有机物环境,其他工艺工程中产生干法刻蚀副产物,环境牺牲层氧化/沉积工艺抛光残留物 研磨液资料来源:盛美股份招股说明书,德邦研究所在全球清洗设备市场,日本 DNS 公司占据 40%以上的市

56、场份额,此外,TEL、LAM 等也在行业占据了较高的市场份额,市场集中度较高。国内的清洗设备领域主要有盛美半导体、北方华创、芯源微、至纯科技。其中,盛美半导体主要产品为集成电路领域的单片清洗设备;北方华创收购美国半导体设备生产商 AkrionSystems LLC 之后主要产品为单片及槽式清洗设备;芯源微产品主要应用于集成电路制造领域的单片式刷洗领域;至纯科技具备生产 8-12 英寸高阶单晶圆湿法清洗设备和槽式湿法清洗设备的相关技术。图 45:全球清洗设备市场格局图 46:盛美单片槽式组合清洗设备其它, 5%盛美, 1%semes, 9%LamResearch,13%DNS, 54%TEL,

57、19%资料来源:中国产业信息网,德邦研究所资料来源:盛美股份招股说明书,德邦研究所1.4. 测试与封装1.4.1. 测试半导体测试贯穿了半导体整个产业链,芯片设计、晶圆制造以及最后的芯片封装环节都需要进行相应的测试,以保证产品的良率。图 47:半导体测试在产业中的应用资料来源:华峰测控招股说明书,德邦研究所23 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告芯片设计环节的测试主要是设计商使用测试机、探针台和分选机对晶圆样品和芯片封装样品的功能和性能进行测试。晶圆制造环节的测试包括晶圆几何尺寸与表面形貌的检测、成分结构分析以及电性测试。封装测试环节主要是通过分选机和测试机对芯片的电性

58、参数及性能等进行测试,以保证出厂后的芯片在性能和寿命方面达到设计标准。图 48:泰瑞达 Magnum 2 存储器测试机图 49:全球半导体测试机格局其他, 2%科休,8%爱德万,50%泰瑞达,40%资料来源:泰瑞达官网,德邦研究所资料来源:中国产业信息网,德邦研究所测试环节主要使用的半导体设备是测试机、分选机和探针台。测试机是检测芯片功能和性能的专用设备,测试机对芯片施加输入信号,采集被检测芯片的输出信号与预期值进行比较,判断芯片在不同工作条件下功能和性能的有效性。表 16:测试机发展历史时间集成电路芯片引脚数(个)测试速度测试速度慢,只能用连接导线、开关按钮等方式编写测试程序效率提高,可以用

59、计算机进行控制测试速度大大提高、可达 10MHZ测试速度提高60 年代中期60 年代末70 年代初小规模集成电路中等规模集成电路大规模集成电路16246070-80 年代 电路种类增多包括 TTL 型、CMOS 型和 ECL 型128256102480 年代超大规模集成电路测试速度可达 100MHZ21 世纪后3D NAND、SOC 等大于 1GHZ资料来源:立鼎产业研究网,德邦研究所全球测试机市场被爱德万、泰瑞达和科休垄断,三者市场占有率分别为 50%,40%和 8%。国内测试机生产商主要有华峰测控和长川科技。华峰测控和长川科技专注于模拟测试机和数字模拟混合测试机,其中华峰测控在国内模拟测试

60、机市占率接近 60%。我国测试机市场中占市场主要份额的为存储测试机和 SOC 测试机,市场份额分别为 43.8%和 23.5%。图 50:国内测试机分类占比图 51:国内模拟测试机市场格局分立器件测 RF测试机, 0.9% 其他, 0.3%试机, 6.8%数字测试机,12.7%其他,40.14%存储测试机,43.8%模拟测试机,12.0%华峰测控,59.86%SOC测试机, 23.5%资料来源:前瞻产业研究院,德邦研究所资料来源:中国产业信息网,德邦研究所24 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告探针台和分选机是将芯片的引脚与测试机的功能模块连接起来并实现批量自动化测试的

61、专用设备。探针台用于晶圆加工之后、封装工艺之前的 CP 测试环节,负责晶圆的输送与定位,使晶圆上的晶粒依次与探针接触并逐个测试。分选机负责将输入的芯片按照系统设计的取放方式运输到测试模块完成电路压测,在此步骤内分选机依据测试结果对电路进行取舍和分类。图 52:TEL PrecioXL 探针台图 53:全球探针台市场格局深圳矽电,其他, 10%3%台湾惠特,4%台湾旺矽,10%东京精密,46%东京电子,27%资料来源:东京电子官网,德邦研究所资料来源:电子发烧友,德邦研究所半导体探针台设备行业集中度较高,目前主要由东京精密、东京电子两家垄断,两个公司共计占据全球约 70%的市场份额。台湾惠特、台

62、湾旺矽等也占有较大的市场份额,特别是在 LED 探针台领域具有优势。国内最大的探针台生产企业是深圳矽电,长川科技、中电科 45 所也具备探针台生产能力。表 17:不同种类分选机比较分选机种类动力来源设备优点设备缺点半导体器件自身动力和外部压 机构简单,易于维护和操作;生产性能稳产量较低;不支持体积较小、球栅阵列封装等特殊封装类型产品测试重力式分选机缩空气定,故障率低每小时产量高,可以集成打印、外观检查、包装等功能转塔式分选机主转盘内的直驱电机不能用于重量较大、外形尺寸较大的产品每小时产量较低;不适用于体积较小的物体平移拾取和放置式真空吸取半导体、水平传动臂 结构简单、可靠性高;适用于重量较重、

63、体分选机 传递产品 积较大的产品资料来源:立鼎产业研究网,德邦研究所分选机按照系统结构可以分为三大类别,即重力式分选机、转塔式分选机、平移拾取和放置式分选机。全球分选机市场由爱德万、科休、爱普生三家企业所垄断,国内的分选机生产商主要有长川科技。1.4.2. 封装封装是将芯片在基板上布局、固定及连接,并用可塑性绝缘介质灌封形成电子产品的过程,目的是保护芯片免受损伤,保证芯片的散热性能,以及实现电能和电信号的传输,确保系统正常工作。封装设备主要有切割减薄设备、引线机、键合机、分选测试机等。目前封装设备主要由国外企业垄断,全球封装设备主要由 ASM Pacific、 K&S、Shinkawa、Bes

64、i 等国外企业垄断,国内具备封装设备制造能力的企业主要有中电科 45 所、艾科瑞斯和大连佳峰。25 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告2. 产业格局不断变化,中国或将成为产业重心2.1. 行业进入新一轮上升周期半导体行业每一次进入上升周期都是由下游需求驱动。回顾半导体行业的发展历史可以看出,每当下游出现技术升级或产品迭代时,市场对于半导体的需求将进入上升周期。在 80 到 90 年代,家用电器的普及以及计算机在商业领域的渗透推动了行业的成长;90 年代到本世纪初,家用电脑及笔记本电脑的普及带来了行业成长的新动力;2013 年到 2018 年,智能手机和平板电脑等消费电子

65、推动了行业新一轮繁荣,但 2019 年消费电子的驱动已经出现乏力,半导体行业出现了短暂的回落。图 54:全球半导体销售额6000全球半导体销售额(亿美元)同比增速35%30%25%20%15%10%5%5000400030002000100000%-5%-10%-15%2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020E2021E2022E2023E资料来源:WIND,WSTS,德邦研究所预测新的技术和产品将带来行业驱动力,半导体行业或将进入上升周期。5G、物联网、大数据、人工智能以及汽

66、车电子等新技术和新产品的应用,将带来庞大的半导体市场需求,行业将进入新一轮的上升周期。根据 WSTS 预测,2020 年全球半导体销售额将达 4330 亿美元,同比增长 5.9%,2021 年半导体销售额将达4690 亿美元,同比增长 8.3%。我们预测 2022 和 2023 年半导体市场将继续增长,2023 年全球市场规模将达到 5010 亿美元。2.2. 全球产业转移,中国市场高速成长半导体经历过两次大的产业转移。半导体产业于 20 世纪 60 年代发源于美国,美国作为半导体发源地,在产品和技术方面一直保持着全球领先水平。第一次转移发生于 20 世纪 80 年代,美国将技术和利润较低的封

67、测剥离,转移到日本地区,日本借助美国的技术支持,逐步完善半导体产业,并在 PC 和家电等领域赶超,造就了日本东芝和日本日立等知名企业。第二次是 20 世纪 90 年代,随着 PC 产业升级,DRAM 技术不断提升,而日本由于经济危机无法支撑产业发展,韩国借此机会对 DRAM 技术和产能不断投入,确立了其在 PC 半导体领域的地位。台湾把握住了美日半导体从 IDM 模式转向垂直分工模式的机会,大力发展了以台积电为代表的晶圆代工产业,在产业链占据了重要的位置。半导体产业正在进行第三次产业转移。中国是全球最大的半导体消费市场,同时也是全球最大的半导体进口国,庞大的市场需求为半导体产业发展提供了前提。

68、2010 年以来,中国一方面凭借低劳动力成本的优势,一方面不断引进半导体产业先进技术,同时加大半导体产业人才培养,逐步承接了半导体低端封测和晶圆制造业务,完成了半导体产业的原始积累。随着全球电子化进程的开展,下游产业快速发展,不断推动中国半导体产业持续兴旺。26 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告图 55:全球半导体销售额(亿美元)500045004000350030002500200015001000500美洲 欧洲 日本 亚太0资料来源:WIND,德邦研究所2019 年我国半导体销售额约占全球市场的 35%。在过去十年的半导体景气周期中,以手机为主的消费电子成为半导

69、体行业发展的主要驱动因素,中国在经济高速发展和巨大的人口基数作用下,成为全球第一大消费电子市场。据全球半导体贸易统计组织数据,20142019 年中国占全球半导体消费市场的份额逐年提升中国,2019 年半导体销售额达到 1441 亿美元,占全球市场份额的 35%。随着5G、汽车电子等下游应用在中国迅速兴起,中国将有望成为全球半导体市场的重心。图 56:中国半导体销售额(亿美元)5004504003503002502001501005035%30%25%20%15%10%5%0%-5%-10%-15%-20%中国半导体销售额(亿美元)同比增速0资料来源:WIND,德邦研究所中国半导体市场仍旧存在

70、供需错配。虽然中国已经成为全球最大的半导体消费国,但中国的半导体生产能力还远远不能匹配中国市场的巨大需求,晶圆产能仍旧有待提升。当前半导体产业仍旧由外资主导,无论是半导体设计还是半导体制造,中国企业的市占率仍旧很低。从晶圆制造产能来看,全球 TOP5 晶圆制造商均为外资企业,占据了全球超过 50%的产能份额。表 18:2019 年全球晶圆产能 TOP52019 年排名厂商三星2019 年产能(万片/月)全球产能占比15.0%12.8%9.4%12345293.5250.5184.1174.3140.6台积电镁光SK 海力士铠侠8.9%7.2%资料来源:IC Insights,德邦研究所27 /

71、 45请务必阅读正文之后的信息披露和法律声明行业深度报告图 57:我国集成电路进出口数量600,000集成电路进口数量(百万块) 集成电路出口数量(百万块)500,000400,000300,000200,000100,00002007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020资料来源:WIND,德邦研究所中国大陆封测产业已经具备一定实力。中国凭借低廉的劳动力,首先承接了对劳动力需求较大技术要求较低的半导体封测业务。目前,中国大陆封测环节在全球已经具备一定的竞争力,根据拓墣产业研究院数据,2020 年第三季

72、度全球前十大封测企业中,中国大陆企业长电科技、通富微电和华天科技分别位列 3、6、7 名。表 19:2020 年第三季度全球封测厂排名排名1公司日月光安靠2020 三季度营收(百万美元)2020 三季度市占率22.5%20.0%14.5%13.3%9.6%同比增速15.1%24.9%-2.3%1520135498289764739831925119719423长电科技矽品417.5%14.2%13.0%-1.5%5力成6通富微电华天科技京元电颀邦5.9%74.7%83.7%11.6%13.1%12.4%92.9%10南茂2.9%资料来源:拓墣产业研究院,德邦研究所图 58:我国芯片设计企业数量

73、图 59:我国芯片设计企业销售额2500200015001000500100%80%60%40%20%0%50004000300020001000035%30%25%20%15%10%5%00%2012 2013 2014 2015 2016 2017 2018 2019 2020我国集成电路设计企业数量 同比增速2012 2013 2014 2015 2016 2017 2018 2019 2020全国芯片设计企业销售额(亿元) 同比增速资料来源:SEMI,德邦研究所资料来源:SEMI,德邦研究所2020 年我国芯片设计行业销售额首次突破 500 亿美元。2020 年虽然行业受到了新冠疫情的

74、影响,但我国芯片设计行业仍旧保持了较快的增长态势,2020 年全行业设计企业数量为 2218 家,同比增长 24.6%。从销售收入来看,全行业销售28 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告预计为 3819.4 亿元,同比增长 23.8%,按照美元与人民币 1:6.8 的兑换率,全年销售约为 561.7 亿美元,首次超过 500 亿美元。表 20:2020 年第四季度全球前十大晶圆代工厂营收排名排名1公司台积电总部台湾韩国台湾美国上海以色列台湾台湾上海韩国2020Q4 预计营收(百万美元)同比增速21%25%13%-4%125503715156914949632三星3联电4

75、格罗方德中芯国际高塔半导体力积电515%11%28%24%11%16%634073128世界先进华宏半导体东部高科297926910209资料来源:拓墣产业研究院,德邦研究所我国晶圆代工发展迅速,中芯国际和华宏半导体已进入全球前十。我国封测行业逐渐进入成熟阶段,晶圆代工正在快速崛起,涌现出了中芯国际和华宏半导体等具备发展潜力的晶圆代工企业。根据拓墣产业研究院最新预测,2020 第四季度全球晶圆代工营收排行中,中芯国际和华宏半导体分别位列第 5 名和第 9 名。同时,我国正在寻求 IC 制造方面的突破,中国大陆正迎来投资建厂热潮,这将为半导体设备带来广阔的市场空间。表 21:中国大陆在建及规划晶

76、圆厂情况地点厦门西安成都青岛上海宁波杭州无锡济南日照重庆上海重庆北京公司生产项目晶圆尺寸12 寸投资金额170 亿元80 亿美元280 亿元188 亿元359 亿元19.9 亿元15 亿元67.9 亿元60 亿元50 亿元100 亿元359 亿元-月产能8 万7 万30 万-开工时间2018 年 10 月2019 年 12 月2018 年 10 月2018 年 8 月2018 年 8 月2019 年 2 月2019 年2018 年2019 年2019 年 6 月-士兰微MEMS、功率器件三星3D NAND12 寸紫光集团芯恩3D NAND12 寸-8 寸/12 寸8 寸积塔半导体中芯国际士兰集

77、昕海辰半导体富能半导体兴华半导体华润微分立器件6 万2.75 万3.6 万-在建-8 寸-8 寸分立器件功率器件-8 寸6 寸/8 寸5 寸/6 寸/8 寸12 寸3.1 万3 万-功率器件分立器件DRAM-积塔半导体紫光集团中芯国际12 寸5 万-规划中-12 寸76 亿美元10 万-资料来源:芯思想,德邦研究所半导体设备对于行业发展至关重要。当前我国半导体设备依旧高度依赖于海外企业,并且在核心技术和零部件上受到一定的限制。半导体设备涉及数学、物理、化学、光学、力学等多个基础学科,技术壁垒高,研发难度大周期长,是整个产业中最关键的环节之一。半导体设备直接关系芯片设计能否落成实物,产品可靠性和

78、良率能否达到设计标准,国内行业是否能够参与全球竞争。因此要实现我国半导体产业链的自主可控,半导体设备至关重要。29 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告3. 半导体设备市场再创新高,国产化替代空间广阔3.1. 全球半导体设备市场或超 710 亿美元根据 SEMI 最新预测,2021 年全球半导体设备需求将超过 710 亿美元。半导体设备位于产业链的上游,其市场规模随着下游半导体的技术发展和市场需求而波动。2013-2018 年,在智能手机和消费电子快速发展的推动下,半导体设备进入了一个持续上升的行业周期,市场规模从 317.9亿美元增长到了 645.3 亿美元,5 年 G

79、ACR 为 15%。而 2019 年全球半导体设备支出为 597.5 亿美元,同比下降7.4%,增长势头稍有回落。根据 SEMI 预测,2020 年全球半导体设备市场规模达创纪录的 689 亿美元,同比增长 16%, 2021 年将达 719 亿美元,同比增长 4.4%,2022 年仍旧保持增长态势,市场将达 761 亿美元,同比增长 5.8%。图 60:全球半导体设备销售额8007006005004003002001000200%150%100%50%全球半导体设备销售额(亿美元)YOY(%)0%-50%-100%资料来源:SEMI,德邦研究所前端和后端半导体设备都将持续增长。 根据 SEM

80、I 数据,晶圆制造设备预计2020 年将增长 15达到 594 亿美元, 2021 年和 2022 年分别增长 4和 6。代工和逻辑业务约占晶圆制造设备销售总额的一半,由于先进制程的投资,今年的支出将增长 15%左右,达到 300 亿美元。存储方面,NAND 制造设备支出今年将增长 30,超过 140 亿美元,而 DRAM 有望在 2021 年和 2022 年引领增长。封装设备方面,2020 年市场规模将增长 20,达到 35 亿美元,在先进封装应用的推动下,到 2021 年和 2022 年分别增长 8和 5。半导体测试设备销售额2020 年预计增长 20,达到 60 亿美元,随着对 5G 和

81、高性能计算应用的需求的提升,半导体测试设备在 2021 年和 2022 年将持续保持增长态势。图 61:2020 年半导体设备市场结构(不包括硅片制造设备)图 62:2020 年各地区半导体设备销售额测试设备,其他地区,8.71%3.77%封装设备,5.08%韩国,中国大陆,22.79%26.27%晶圆制造设欧洲,3.48%中国台湾,24.38%备, 86.21%北美,8.85%日本,10.60%资料来源:SEMI,德邦研究所资料来源:SEMI,德邦研究所分地区来看,2020 年中国大陆已成为全球最大的半导体设备市场。中国大陆是近年来半导体设备市场唯一保持持续增长的地区,市场规模在全球的占比逐

82、年30 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告提升。2016-2019 年,中国大陆的半导体设备市场规模从 64.6 亿美元增长到了134.5 亿美元,3 年 CACR 达 28%,在全球市场中的占比由 15.7%提升至 22.5%。随着中国大陆在 IC 和储存领域的强劲支出,SEMI 预计 2020 年中国大陆半导体设备市场规模将达 181 亿美元,同比增长 34.6%,成为全球最大的半导体设备市场。我们认为在国家政策和资金支持下,2021 和 2022 年中国大陆的半导体设备支出将持续保持高位,市场规模将保持在 180 亿美元。图 63:全球各地区半导体设备市场规模(亿

83、美元)9008007006005004003002001000中国大陆中国台湾日本北美欧洲韩国其他地区2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020E 2021E 2022E资料来源:SEMI,德邦研究所预测3.2. 细分市场高度集中,海外龙头处于垄断地位全球半导体市场集中度高,各细分市场均被龙头企业所垄断。半导体设备技术壁垒高,研发周期长难度大,故半导体设备市场份额集中在少数企业。2018 年全球半导体设备市场 CR5 为 65.1%,CR10 为 76.3%。2019 年市场集中

84、度进一步提升,CR5 高达 76.5%,CR10 高达 91.3%。图 64:2018 年全球半导体设备市场格局图 65:2019 年全球半导体设备市场格局其他,应用材料,17.3%日 应 立 用 高 材 料,新 18, .50%2.40%泰瑞达,8.70%2.60%日立高爱德ASM, 3%新, 1.7%万 ,其他,3.10%DNS,3.70%23.7%泰瑞达,阿斯麦 ,15.7%1.8%科磊 ,6.60%阿斯麦 ,18.10%ASM, 1.8%爱德万 ,2.7%DNS, 3.2%泛林半导东京电子 ,泛林半导体 , 13.4% 13.5%东京电子 ,17.30%体 , 16%科磊 , 5.2%

85、资料来源:前瞻经济学人,德邦研究所资料来源:前瞻经济学人,德邦研究所全球半导体设备厂商主要集中在美国、日本和荷兰。美国的半导体设备厂商主要有应用材料、泛林半导体、科磊和泰瑞达,覆盖的设备主要包括晶圆制造和封测环节的刻蚀设备、离子注入机、薄膜沉积设备、掩膜版制造设备、检测设备、测试设备、清洗设备等。日本的半导体设备厂商主要包括东京电子、DNS、爱德万和日立高新,主要覆盖的设备包括刻蚀设备、薄膜沉积设备、清洗设备、热处理设备、涂胶机/显影机、退火设备、检测设备、测试设备等,另外尼康和佳能两家日本公司还可以供应中低端光刻机。荷兰的半导体设备厂为阿斯麦,阿斯麦作为全球光刻机龙头,垄断了高端光刻机市场,

86、并且在中低端市场也占据相当份额。31 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告表 22:全球主要半导体设备厂商总部 2019 年营业收入(亿美元)覆盖半导体设备种类薄膜沉积设备、刻蚀设备、离子注入设备、热处理设备、CMP 设备、电镀设备、测量和圆片检测设备等应用材料美国146132阿斯麦荷兰日本光刻机东京电子10497463026212366刻蚀机、CVD、涂布/显影机和清洗机刻蚀机、薄膜沉积设备、清洗设备过程检测设备泛林半导体 美国科磊美国日本日本荷兰美国日本DNS清洗设备、刻蚀设备、显影涂布设备等测试设备爱德万ASM封装设备泰瑞达日立高新测试设备薄膜沉积设备、刻蚀设备、检

87、测设备、封装贴片设备等资料来源:德邦研究所整理半导体设备投资中晶圆加工设备占比达 80%。半导体设备在新建的晶圆厂资本支出中占比为 80%,而在半导体设备中晶圆加工设备占比为 80%,为最主要的资本支出项目,封装测试设备占比 15%,其余设备占比 5%。根据前瞻产业研究院的数据,在晶圆加工设备中,刻蚀机投资占比最高达 30%,其次是薄膜沉积设备占比 25%,光刻机占比 23%,其余设备合计占比 22%。在各细分领域中,我国半导体设备企业具备竞争力的设备主要包括刻蚀设备、薄膜沉积设备及清洗设备。图 66:半导体设备投资占比图 67:晶圆制造设备投资占比其他设备,封装测试设5%备, 15%其他设备

88、,刻蚀机,22%30%晶圆加工设光刻机,23%备, 80%薄膜沉积,25%资料来源:中国产业信息网,德邦研究所资料来源:前瞻产业研究院,德邦研究所光刻机市场由荷兰 ASML 所垄断。光刻机是晶圆加工设备中技术壁垒最高的设备,该市场为荷兰企业ASML(阿斯麦)所垄断。全球光刻机生产商主要有ASML、尼康和佳能,其中 ASML 具有绝对的垄断地位。图 68:全球光刻机销售数量(台)40035030025020015010050ASML Canon Nikom0201420152016201720182019资料来源:前瞻产业研究院,德邦研究所32 / 45请务必阅读正文之后的信息披露和法律声明行业

89、深度报告阿斯麦主要从事半导体光刻设备的设计、制造及销售。阿斯麦总部位于荷兰Veldhoven,业务范围遍及全球,生产与研发单位则分别位于美国康乃狄克州、加州,台湾以及荷兰。阿斯麦是全球唯一的 EUV 光刻机生产商,2019 年阿斯麦实现营业收入 132.4 亿美元,同比增长 5.8%。近三年先进制程逻辑芯片及存储芯片等下游需求激增,为 ASML 的高端光刻机带来了广阔的市场空间,近三年公司营收 CAGR 达 22.7%。图 69:ASML 营业收入情况1401201008060%50%40%30%20%10%0%营业收入(亿美元)同比增速604020-10%-20%02010201120122

90、013201420152016201720182019资料来源:WIND,德邦研究所公司的垄断地位为公司带来了较高的毛利率和净利率。公司在光刻机行业的独特的垄断地位为公司带来了较高的且稳定的毛利率和净利率。2010-2019 年公司毛利率始终稳定在 40%45%,净利率稳定在 20%25%之间,具备优秀的盈利能力。图 70:ASML 毛利率及净利率变化情况50%45%40%35%30%25%20%15%10%5%销售毛利率销售净利率0%2010201120122013201420152016201720182019资料来源:WIND,德邦研究所阿斯麦始终保持持续的高研发投入。光刻机研发涉及数学

91、、物理、化学等基础学科,以及机械、电气、自动化、计算机等工程学科,研发难度大,需要大量的持续的研发支出。AMSL 研发费用跟随营业收入逐年提升,研发费用率常年保持在 10%以上,这是 ASML 能够持续垄断高端光刻机,并不断满足下游客户需求的重要原因之一。2019 年,ASML 研发费用达到了 22 亿美元。阿斯麦于 2000 年在上海成立分公司,于上海、北京、天津、大连、武汉等地设有客户支持办公室,在深圳设有计算光刻软件研发中心,在北京有量测设备研发中心。33 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告图 71:ASML 研发费用情况2520%18%16%14%12%10%8

92、%研发支出(亿美元)研发费用率20151056%4%2%00%2010201120122013201420152016201720182019资料来源:WIND,德邦研究所泛林半导体是全球刻蚀设备龙头,市占率超过 50%。泛林半导体(LamResearch)公司成立于 1980 年,总部位于美国加利福尼亚州福利蒙特。公司产品主要覆盖等离子蚀刻、薄膜沉积设备、清洗设备等半导体设备。公司在等离子刻蚀设备领域处于龙头地位,根据前瞻产业研究院数据,2019 年公司在全球刻蚀设备市场中占比达 52%。图 72:泛林半导体营业收入12010080604020060%50%40%30%20%10%0%营业收

93、入(亿美元)同比增速-10%-20%-30%2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2019资料来源:WIND,德邦研究所随着先进制程和 3D NAND 的推进,晶圆加工对于刻蚀工艺的需求明显增多。作为刻蚀龙头,泛林半导体受益显著,2019 年前营收保持高速增长。2019 年全球半导体市场短暂下行,公司营收也出现了下滑,2020 年重回增长轨道。2020 年公司全年实现营收 100.4 亿美元,同比增长 4%。公司在刻蚀设备方面持续保持领先,公司于 2014 年就已经开发出了具备 ALE 功能的 Flex 系列刻蚀机。表 23:泛林半

94、导体刻蚀设备发展历史时间产品制程1.5m0.8m180nm90nm1982AutoEtch1992ICP 干法刻蚀设备20002300 系列刻蚀平台2004KIYO 和 Flex 系列第一代 90nm具备 ALE 功能的 KIYO 和 Flex 系列201414nm资料来源:泛林半导体官网,德邦研究所应用材料是平台型半导体设备龙头。应用材料(AMAT)是全球最大的半导体和显示器面板研发、制造和服务公司。应用材料股份有限公司成立于 1967 年 ,总34 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告部位于美国加利福尼亚州圣克拉拉。半导体设备为公司主要营收来源,在半导体设备领域,公司

95、覆盖了薄膜沉积设备、刻蚀设备、离子注入设备、CMP 设备以及检测设备等设备,是全球最大的半导体设备厂商,公司在薄膜沉积设备和离子注入设备领域具有垄断地位。图 73:2020 年应用材料营收业务分布图 74:2020 年应用材料营收地区分布应用服务,9.34%欧洲, 4.3%美国, 9.4%东南亚,2.4%中国大陆,31.7%面板业务,24.15%韩国,17.6%半导体系统,66.08%中国台湾,23.0%日本,11.6%资料来源:WIND,德邦研究所资料来源:WIND,德邦研究所2020 年公司营业收入高达 172 亿美元。受益于 2020 年全球晶圆加工资本支出上升,作为半导体设备龙头的应用

96、材料实现营业收入 172 亿美元,同比增长17.8%,其中来自于半导体设备业务的营收为 113.7 亿美元,同比增长了 25.9%。图 75:应用材料营业收入2001801601401201008040%30%20%10%0%营业收入(亿美元)同比增速6040-10%-20%2002010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020资料来源:WIND,德邦研究所3.3. 国内半导体设备市场将超千亿,国产替代空间广阔2020 年国内半导体设备市场规模预计达 181 亿美元,同比增长 34.6%。随着 PC 和消费电子在国内的市场不断扩大,对

97、于集成电路的旺盛需求带来了国内对于集成电路产业的持续投资。自 2013 年以来国内的半导体设备市场规模不断增长,2013 年国内半导体设备市场规模 33.7 亿美元,根据 SEMI 预测,2020 年市场规模预计达 181 亿美元,七年 CAGR 达 27%。在 2019 年全球半导体资本支出低迷的情况下,国内半导体设备支出仍旧保持了增长态势,市场规模达 134.5亿美元。同比增长 2.5%。我们认为在国家政策和资金支持下,2021 和 2022 年中国大陆的半导体设备支出将持续保持高位,市场规模将保持在 180 亿美元。2019 年国产半导体设备销售额为 161.82 亿元,同比增长 30%

98、。其中集成电路设备销售额为 71.29 亿元,同比增长 55.5%。而中国大陆 2019 年半导体设备市场规模 134.5 亿美元,国产化率约 17%,具备较大国产替代空间。35 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告图 76:中国大陆半导体设备市场规模20070%60%50%40%30%20%10%0%国内半导体设备市场规模(亿美元)同比增速180160140120100806040200-10%2013201420152016201720182019 2020E 2021E 2022E资料来源:SEMI,德邦研究所预测图 77:2019 年中国大陆半导体设备国产化率图

99、78:2019 年国产集成电路设备企业 TOP516集成电路设备销售额(亿元)14国产设12108备, 17%6进口设备,83%420北方华创 屹唐半导体 中电科中微公司 盛美半导体资料来源:电子专用设备工业协会,WIND,德邦研究所资料来源:电子专用设备工业协会,德邦研究所3.4. 政策资金双轮驱动,助力半导体设备国产化国家政策大力支持半导体设备行业发展,国产替代进程加快。自“02 专项”以来,国家发布了一系列政策支持我国半导体行业的发展。国家先后出台了国家集成电路产业发展推进纲要,关于进一步鼓励软件产业和集成电路产业发展的若干政策的通知等多方面为半导体产业政策给予扶持。表 24:我国半导体

100、产业政策梳理时间政策主要内容在集成电路(特别是中央处理器芯片)、系统软件、关键应用软件、自主可控关键装备等涉及自主发展能力的关键领域,瞄准国际创新前沿,加大投入,重点突破,逐步掌握产业发展的主动权。为进一步优化软件产业和集成电路产业发展环境,提高产业发展质量和水平,培育一批有实力和影响力的行业领先企业,在财税、投融资、研究开发、进出口等各方面制定了许多优惠政策。在投融资方面,积极支持符合条件的软件企业和集成电路企业采取发行股票、债券等多种方式筹集资金,拓宽直接融资渠道。2006-2020 年国家信息化发展战略2006.03关于进一步鼓励软件产业和集成电路产业发展的若干政策的通知2011.01我

101、国境内新办的集成电路设计企业和符合条件的软件企业,经认定关于进一步鼓励软 后,在 2017 年 12 月 31 日前自获利年度起计算优惠期,第一年件产业和集成电路产 至第二年免征企业所得税,第三年至第五年按照 25%的法定税率业发展企业所得税政 减半征收企业所得税,并享受至期满为止。国家规划布局内的重点2012.052014.06策的通知软件企业和集成电路设计企业,如当年未享受免税优惠的,可减按10%的税率征收企业所得税。提出着力发展集成电路设计业;加速发展集成电路制造业;提升先进封装测试业发展水平;突破集成电路关键装备和材料;并从成立国家集成电路产业发展领导小组、设立国家产业投资基金、加大金

102、国家集成电路产业发展推进纲要36 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告融支持力度、落实税收支持政策、加强安全可靠软硬件的推广应用、强化企业创新能力建设、加大人才培养和引进力度、继续扩大对外开放等八个方面配备了相应的保障措施。关于进一步鼓励集 明确了享受两免三减半企业所得税优惠条件的集成电路、测试企成电路产业发展企业 业、集成电路关键专用生产企业或集成电路专用设备生产企业的条所得税政策的通知 件,从所得税优惠层面助推集成电路发展。2015.032018.01关于集成电路生产 规定了不同纳米级别、经营期限和投资规模的集成电路生产企业的企业有关企业所得税 企业所得税的优惠政策,

103、从税收政策上支持集成电路生产企业的发政策问题的通知展。资料来源:中微公司招股说明书,德邦研究所新时期促进集成电路产业和软件产业高质量发展的若干政策出台,进一步大力支持国产半导体产业发展。在半导体国产化需求迫切的情况下,2020 年 8月国务院发布新时期促进集成电路产业和软件产业高质量发展的若干政策,从财税、融资、人才、市场等多个维度支持国内半导体相关企业的发展。表 25:新时期促进集成电路产业和软件产业高质量发展的若干政策旧财税政策变化制程要求28nm65nm130nm130nm年限要求15 年以上15 年以上10 年以上税收政策10 年免征政策变化原政策为“5 免 5 减半”不变5 年免征

104、5 年减半2 年免征 3 年减半不变亏损准予转结,总转结年限不得超 10 年原政策为 5 年资料来源:国务院,德邦研究所表 26:新时期促进集成电路产业和软件产业高质量发展的若干政策新增财税政策政策对象新增政策重点集成电路设计企业集成电路装备、材料、封装、测试企业5 年免税 5 年按 10%2 年免税 3 年减半65nm 的逻辑电路、存储企业;250nm 特色工艺集成电路;500nm 的化合物集成电路生产企业;先进封装测试企业;免征进口关税除不予免税目录商品外,免征进口关税资料来源:国务院,德邦研究所国家大基金深度参与半导体设备行业。2014 年国家设立了国家集成电路产业投资基金,2018 年

105、国家集成电路产业投资基金一期经投资完毕,总投资额为 1387亿元,公开投资公司为 23 家,未公开投资公司为 29 家,累计有效投资项目达到70 个左右,投资范围涵盖集成电路产业上、下游各个环节。大基金一期投资项目中,集成电路制造占 67%,设计占 17%,封测占 10%,装备材料类占 6%。表 27:国家集成电路产业基金一期投资领域领域代表企业芯片制造芯片设计芯片封测集成电路装备集成电路材料中芯国际、中芯北方、长江存储、华力二期、士力微电子、三安光电、耐威科技紫光晨讯,中兴微电子、艾派克、湖南田科微、盛科网络、硅谷数据、芯原微电子长电科技、通富微电、华天科技、中芯长电中微公司、沈阳拓荆、长川

106、科技、上海睿励、北方华创沪硅产业、鑫华半导体、安集科技、烟台傅邦资料来源:前瞻产业研究院,德邦研究所大基金二期将继续支持国产半导体设备发展。2019 年国家集成电路产业基金二期成立,投资布局及规划方向主要有三点:第一,支持龙头企业做大做强,提升成线能力;第二,产业聚集,抱团发展,组团出海;第三,续推进国产装备材料的下游应用。在半导体设备方面,首期基金主要完成产业布局,二期基金将对在刻蚀机、薄膜设备、测试设备和清洗设备等领域已布局的企业保持高强度的持续支持,推37 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告动龙头企业做大最强,形成系列化、成套化装备产品。加快开展光刻机、化学机械研

107、磨设备等核心设备以及关键零部件的投资布局,保障产业链安全。充分发挥基金在全产业链布局的优势,持续推进装备与集成电路制造、封测企业的协同,加强基金所投企业间的上下游结合,加速装备从验证到“批量采购”的过程,为本土装备材料企业争取更多的市场机会。督促制造企业提高国产装备验证及采购比例,为更多国产设备材料提供工艺验证条件,扩大采购规模。3.5. 国产设备厂商奋起直追,设备国产化进行时在国家政策和资金的大力支持下,国内涌现出一批优质的国产半导体设备厂商,具备未来与国际巨头竞争的潜力。国内主要的半导体设备厂商有:北方华创、中微公司、华峰测控、长川科技、精测电子、芯源微、至纯科技、万业企业、晶盛机电等上市

108、公司,以及屹唐半导体、盛美半导体、华海清科、华卓精科、沈阳拓荆、中电科、睿励科学等未上市公司。表 28:国内外半导体设备公司对比(截至 2021 年 1 月 6 日)股票代码公司主要覆盖的半导体设备2019 年营业收入(亿元) 2019 年净利润(亿元) 总市值(亿元) PE(TTM)国内半导体设备公司002371.SZ 北方华创688012.SH 中微公司688200.SH 华峰测控300567.SZ 精测电子300604.SZ 长川科技603690.SH 至纯科技刻蚀、薄膜沉积、热处理、清洗40.3019.452.523.091.891.022.700.121.100.296.37953.

109、77834.39228.72129.21106.53118.4486.78229.15252.40145.3564.24刻蚀测试检测19.373.95测试机,分选机清洗231.31100.14118.8159.879.80688037.SH芯源微清洗、显影涂胶单晶炉、硅片加工2.12300316.SZ 晶盛机电30.94412.71国外半导体设备公司AMAT.OASML.O8035.T应用材料 薄膜沉积、刻蚀、离子注入、CMP1,033.50923.79738.87663.65180.83143.22160.10191.45202.60121.39150.6535.095.555,305.48

110、13,739.193,783.334,612.19992.6522.6454.4933.0027.2930.2048.0428.30阿斯麦东京电子泛林半导体爱德万光刻机刻蚀、热处理、显影涂胶LRCX.O6857.T刻蚀、薄膜沉积、清洗测试封装测试0522.HKTER.OASM336.09泰瑞达32.611,306.48资料来源:WIND、德邦研究所3.5.1. 平台型国产半导体设备龙头:北方华创北方华创是国内领先的半导体设备平台型企业。北方华创前身为七星电子,2016 年七星电子和北方微电子战略重组,2017 年公司正式更名为北方华创。公司是国内领先的高端电子工艺装备和精密电子元器件生产商。公

111、司是以半导体设备为核心业务的平台型企业,主营业务包括半导体装备、真空装备、新能源锂电装备及精密元器件。半导体设备已经成为公司核心业务。公司四大业务中,半导体设备为核心业务,营收占比逐年提升,2019 年半导体设备业务营收占比为 63.9%。主要产品包括刻蚀机、PVD、CVD、热处理设备和清洗机等,下游覆盖领域包括集成电路、LED、显示面板和光伏电池。公司作为国产半导体设备主力,承担多个国家重大科技项目。北方华创作为国资背景的半导体设备国产化主力军,承担了 863 计划和国家 02 专项等多个半导体设备公关研发项目,包括刻蚀设备、PVD 和 CVD 设备的研发和产业化,公司承担项目已部分完成验收

112、实现产业化。38 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告表 29:北方华创承担国家重大科技专项项目类型项目名称863 集成电路制造装备重大项目100 纳米高密度等离子刻蚀机研发与产业化项目9065 纳米刻蚀机研发与产业化项目65-45 纳米 PVD 设备研发项目国家“十一五”02 重大科技专项32-22 纳米栅刻蚀机研发与产业化项目14nm 立体栅刻蚀机研发与产业化项目45-22 纳米 PVD 设备研发与产业化项目28-14nm 原子层沉积系统产品研发及产业化国家“十二五”02 重大科技专项资料来源:公司公告,德邦研究所公司营业收入持续增长,净利润稳步提升。受益于下游半导体

113、、光伏和 LED行业持续发展,公司营收和盈利逐年稳步增长。2019 年公司实现营业收入 40.58亿元,同比增长 22.1%,2016-2019 年 CAGR 为 35.7%。2019 年公司实现归母净利润 3.09 亿元,同比增长 32.2%,2016-2019 年 CAGR 为 49.3%。2020 年在新冠肺炎疫情的影响下,上半年公司营收和利润仍旧保持了高速增长,2020 前三季度实现营业收入 38.36 亿元,同比增长 40.1%,实现归母净利润 3.27 亿元,同比增长 48.9%。图 79:北方华创营业收入图 80:北方华创归母净利润5060%50%40%30%20%10%0%3.

114、53.02.52.01.51.00.50.0归母净利润(亿元)YOY(%)100%80%60%40%20%0%营业收入(亿元)YOY(%)40302010020162017201820192020Q1-Q320162017201820192020Q1-Q3资料来源:WIND,德邦研究所资料来源:WIND,德邦研究所公司作为平台型半导体设备企业将引领设备国产化步伐。公司覆盖多种半导体设备,其中在 ICP 刻蚀设备、PVD 设备以及热处理设备方面最具优势。公司 ICP刻蚀设备主要用于硅刻蚀和金属材料的刻蚀,28nm 制程以上刻蚀设备已经实现产业化,在先进制程方面,公司硅刻蚀设备已经突破 14nm

115、技术,进入主流晶圆厂进行验证。PVD 设备实现了国产集成电路领域高端薄膜制备设备零的突破,设备覆盖了 90-14nm 多个制程,并成功进入国际供应链体系。热处理设备方面,北方华创的立式炉、卧式炉设备达到国内半导体设备的领先水平,实现了较高的设备国产化率。3.5.2. 国产刻蚀设备的先行者:中微公司中微公司是国产半导体刻蚀设备的领先企业。公司聚焦用于集成电路、LED芯片等微观器件领域,深耕芯片制造刻蚀领域,研制出了国内第一台电介质刻蚀机,公司核心产品包括用于 IC 集成电路领域的等离子体刻蚀设备和用于 LED 芯片领域的 MOCVD 设备。公司刻蚀设备主要为 CCP 刻蚀设备。公司从 2004

116、年建立起首先着手开发甚高频去耦合的 CCP 刻蚀设备 Primo D-RIE,到目前为止已成功开发了双反应台Primo D-RIE,双反应台 Primo AD-RIE 和单反应台的 Primo AD-RIE 三代刻蚀机产品,涵盖 65 纳米、45 纳米、32 纳米、28 纳米、22 纳米、14 纳米、7 纳米到5 纳米关键尺寸的众多刻蚀应用。另一方面,公司从 2012 年开始开发 ICP 刻蚀设备,到目前为止已成功开发出单反应台的 Primo nanova 刻蚀设备,同时着手开39 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告发双反应台 ICP 刻蚀设备。图 81:中微公司 Pr

117、imo HD-RIE 系列 CCP 刻蚀机图 82:中微公司 Primo nanova 系列 ICP 刻蚀机资料来源:中微公司官网,德邦研究所资料来源:中微公司官网,德邦研究所公司刻蚀设备已经进入国内外先进制程生产线。中微公司是国内唯一进入台积电先进制程生产线的国产设备厂商,2017 年中微刻蚀设备进入台积电先进 7 纳米生产线,5nm 制程正在展开合作。同时,公司的刻蚀设备进入了长江存储、华虹宏力等国内晶圆制造厂商。图 83:中微公司营业收入图 84:中微公司归母净利润2580%70%60%50%40%30%20%10%0%4.03.0归母净利润(亿元)同比增速250%150%50%营业收入

118、(亿元)同比增速20151052.01.00.0-50%-150%-250%-1.0-2.0-3.0020162017201820192020Q1-Q3资料来源:WIND,德邦研究所资料来源:WIND,德邦研究所公司营业收入和净利润保持高速增长。受益于国内晶圆代工和存储产线持续增长的资本支出,公司在过去三年营业收入和净利润高速增长。2020 年前三季度,公司实现营业收入 14.76 亿元,同比增长 21.2%,实现归母净利润 2.77 亿元,同比增长 105%。公司作为国产刻蚀设备龙头,将充分受益于先进制程和 3D NAND 发展。随着先进制程尺寸发展,对于刻蚀次数和刻蚀工艺的要求越来越高。工

119、艺节点不断减小,对于刻蚀设备刻蚀速率、各异向性、选择比、深宽比等技术参数要求也越来越高。另一方面,3D NAND 层数的不断增加,对刻蚀的高深宽比提出了更高的要求。综合来看,刻蚀设备未来在晶圆厂的资本支出中占比将逐渐提高,公司将深度受益。3.5.3. 深耕测试机领域的国产设备商:华峰测控华峰测控是国内最大的半导体测试机本土供应商。公司也是为数不多进入国际封测市场供应商体系的中国半导体设备厂商,主营业务为半导体自动化测试系统的研发、生产和销售,产品主要用于模拟及混合信号类集成电路的测试。公司自成立以来,始终专注于半导体自动化测试系统领域,以其自主研发的产品实现了模拟及混合信号类集成电路自动化测试

120、系统的进口替代。40 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告图 85:华峰测控 STS8200 测试机图 86:2019 年华峰测控业务构成其他业务,0.99%配件,6.58%测试系统,92.44%资料来源:华峰测控官网,德邦研究所资料来源:WIND,德邦研究所华峰测控专注于模拟测试机领域,国内市占率约 60%。公司专注于模拟测试件和数字混合模拟测试机的研发,在国内多个知名企业取得订单,并且产品成功进入国际封测厂商。封测领域的客户主要包括长电科技、通富微电、华天科技、日月光集团;芯片设计领域的客户包括华为、芯源系统;IDM 领域包括意法半导体、华润微电子等。图 87:华峰测

121、控营业收入图 88:华峰测控归母净利润3.550%40%30%20%10%0%1.61.41.21.00.80.60.40.20.080%70%60%50%40%30%20%10%0%营业收入(亿元)同比增速归母净利润(亿元)同比增速3.02.52.01.51.00.50.020162017201820192020Q1-Q320162017201820192020Q1-Q3资料来源:WIND,德邦研究所资料来源:WIND,德邦研究所公司营收盈利稳定增长,毛利率保持较高水平。公司 2020 年前三季度实现营业收入 2.93亿元,同比增长 45.5%,实现归母净利润 1.37亿元,同比增长 68%

122、,营业收入和归母净利润均已经超过 2019 年全年水平。公司始终保持着 80%左右的整体毛利率,净利率也在 40%以上,盈利能力强。图 89:华峰测控盈利水平图 90:华峰测控研发费用100%80%60%40%20%0%0.50.40.30.20.10.016%14%12%10%8%研发费用(亿元)毛利率净利率6%4%2%0%20162017201820192020Q1-Q320162017201820192020Q1-Q3资料来源:WIND,德邦研究所资料来源:WIND,德邦研究所募投项目为公司打开成长空间。公司持续保持较高的研发投入,研发费用率始终保持在 11%以上。2020 年 2 月公

123、司于科创板上市,募集资金用于扩大主力产41 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告品模拟测试机生产规模,同时发展 SoC 和大功率测试机测试机业务。项目建成后将达到年产 800 台模拟及混合信号类 ATE 和年产 200 台 SoC 类 ATE 的生产能力,为公司打开新的成长空间。表 30:华峰测控募投项目(万元)序号1项目名称集成电路先进测试设备产业化基地建设项目生产基地建设项目总投资额 拟使用募资金额 建设周期65,589.6835,706.9419,978.859,903.8965,589.6835,706.9419,978.859,903.891.11.21.322

124、4 个月研发中心建设营销服务网络建设科研创新项目24,410.3210,000.0024,410.3210,000.00/3补充流动资金资料来源:华峰测控招股说明书,德邦研究所42 / 45请务必阅读正文之后的信息披露和法律声明行业深度报告4. 行业评级半导体设备行业动态市盈率达 133.5。自美国对我国半导体行业实行制裁以来,半导体设备行业关注度持续提升。2019 年以来,在政策和资金的支持下,半导体设备行业发展迅速,估值水平也持续提升。2019 年上半年半导体设备指数成分股平均市盈率在 3060 区间,2019 年下半年行业估值持续上升,2020 年下半年估值开始回落,过去三个月行业 PE

125、 在 120140 区间波动,最新动态市盈率为133.5,仍然处于较高水平。图 91:半导体设备行业市盈率(截至 2021.01.05)25020015010050市盈率(TTM)0资料来源:WIND,德邦研究所自 2019 年以来,半导体设备行业指数累计增长 242%,同期上证指数增长40.3%。从行业整体而言,将持续受益于半导体设备国产化,而行业指数已处于较高位置,因此我们认为行业整体未来表现将与市场整体走势相当,因此给与半导体设备行业“中性”评级。细分行业龙头由于技术等优势,可能取得较好的表现,建议关注中微公司、北方华创和华峰测控等细分领域龙头。图 92:2019 年至今半导体设备行业指数和上证综合指数收益率对比(截至 2021.01.05)350%半导体设备上证综指300%250%200%150%100%50%0%资料来源:WIND,德邦研究所43 / 45请务必阅读正文之后的信息披露和法律声明

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 医学/心理学 > 医学现状与发展

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号