数字电子技术:1-2 数制

上传人:M****1 文档编号:574537938 上传时间:2024-08-16 格式:PPT 页数:64 大小:2.08MB
返回 下载 相关 举报
数字电子技术:1-2 数制_第1页
第1页 / 共64页
数字电子技术:1-2 数制_第2页
第2页 / 共64页
数字电子技术:1-2 数制_第3页
第3页 / 共64页
数字电子技术:1-2 数制_第4页
第4页 / 共64页
数字电子技术:1-2 数制_第5页
第5页 / 共64页
点击查看更多>>
资源描述

《数字电子技术:1-2 数制》由会员分享,可在线阅读,更多相关《数字电子技术:1-2 数制(64页珍藏版)》请在金锄头文库上搜索。

1、1.1.3 模拟信号与数字信号1. 1. 模拟信号模拟信号-时间和数值均连续变化的电信号,时间和数值均连续变化的电信号,如正弦波、三角波等如正弦波、三角波等 u uOt Otu u 2. 2. 数字信号数字信号-在时间上和数值上均是离散的信在时间上和数值上均是离散的信号。号。数字信号波形数字信号波形3.模拟信号的数字表示模拟信号的数字表示数字信号便于存储、分析和传输,通常都将模拟信号转换为数字信号数字信号便于存储、分析和传输,通常都将模拟信号转换为数字信号. t/s v /mV 20 15 0 5 10 t1 t2 t0 t3 t1 t/s v /mV t2 t0 20 15 0 5 t3 t

2、1 dt2 t0 0 00001110t3 t / s 000011000000100100000101 0 0 模拟信号模拟信号 模数转换器模数转换器 3 V 数字输出数字输出 0 0 0 0 1 1 模数转换的实现模数转换的实现逻辑电平与电压值的关系(正逻辑)逻辑电平与电压值的关系(正逻辑)1.1.4 1.1.4 数字信号的描述方法数字信号的描述方法1. 二二值数字逻辑及其表示值数字逻辑及其表示 (1) (1)在电路中用在电路中用低低、高高电平表示电平表示0 0、1 1两种逻辑状态两种逻辑状态 只有只有 0 0、1 1 两种对立逻辑状态的逻辑关系两种对立逻辑状态的逻辑关系-二值数字逻辑二值

3、数字逻辑在数字电路中在数字电路中, 0 0、1 1组成二进制数可以表示数量大小组成二进制数可以表示数量大小, ,也可以也可以表示两种不同的逻辑状态表示两种不同的逻辑状态表示方式表示方式电压电压(V)(V)二值逻辑二值逻辑电电 平平+5+51H(H(高电平高电平) )00L(L(低电平低电平) )(2) (2) 用用逻辑电平表示数字波形平表示数字波形(3) 16(3) 16位数据的图形表示位数据的图形表示v/V 5 0 t/ms 50 100 150 200 逻辑逻辑 0 逻辑逻辑1 tt为一拍为一拍数字波形数字波形(a)11101100012.数字波形数字波形高电位高电位低电位低电位有脉冲有脉

4、冲数字波形数字波形-是逻辑电平对时间的图形表示是逻辑电平对时间的图形表示(b)(b)(a)(a)非归零型非归零型(b)(b)归零型归零型 比特率比特率 - 每秒钟转输数据的位数每秒钟转输数据的位数无脉冲无脉冲10(1)波形类型波形类型:(2)周期性和非周期性周期性和非周期性T tW非周期性数字波形非周期性数字波形周期性数字波形周期性数字波形占空比:占空比:q q(%)(%) 周期性数字波形周期性数字波形例例1.1.1 某通信系统每秒钟传输某通信系统每秒钟传输15440001544000位位(1.544(1.544兆位兆位) )数数据,求每位数据的时间。据,求每位数据的时间。解:解:按题意,每位

5、数据的时间为按题意,每位数据的时间为例例1.1.2 设周期性数字波形的高电平持续设周期性数字波形的高电平持续6ms,低电平,低电平持续持续10ms,求占空比,求占空比q。解:解:因数字波形的脉冲宽度因数字波形的脉冲宽度 tw=6ms,周期,周期 T=6ms+10ms=16ms。1.1.非理想脉冲波形非理想脉冲波形(3)(3)实际脉冲波形及主要参数实际脉冲波形及主要参数 5.0V 4.5V tftrtwVm占空比占空比 q q - -表示脉冲宽度占整个周期的百分比表示脉冲宽度占整个周期的百分比几个主要参数几个主要参数: :上升时间上升时间t t r r 和下降时间和下降时间t t f f -从脉

6、冲幅值的从脉冲幅值的10%10%到到90% 90% 上升上升 (下降)所经历的时间(下降)所经历的时间( ( 典型值几典型值几ns )ns )脉冲宽度脉冲宽度(t(tw w )-)-脉冲幅值的脉冲幅值的50%50%的两个时间所跨越的时间的两个时间所跨越的时间周期周期(T) -(T) -表示两个相邻脉冲之间的时间间隔表示两个相邻脉冲之间的时间间隔 5.0V 4.5V tftrtwVm(4)(4)波形图、时序图或定时图波形图、时序图或定时图 波形图波形图 - - 按时间顺序画出数字信号的各个值,所得图形按时间顺序画出数字信号的各个值,所得图形某微处理器应用的定时图某微处理器应用的定时图- -“地址

7、地址”要先于要先于“读信号读信号”有效一定时间有效一定时间时序图时序图 - - 时序电路中,反映电路状态、输出对时钟脉冲信号和时序电路中,反映电路状态、输出对时钟脉冲信号和输入信号的响应的波形图,侧重描述电路逻辑功能输入信号的响应的波形图,侧重描述电路逻辑功能定时图定时图 - - 时序电路中,反映电路状态、输出对时钟脉冲信号和时序电路中,反映电路状态、输出对时钟脉冲信号和输入信号的响应的波形图,侧重各个信号先后顺序以及时间量输入信号的响应的波形图,侧重各个信号先后顺序以及时间量1.2数制数制数制数制: 多位数码中的每一位数的构成及低位向高位进位多位数码中的每一位数的构成及低位向高位进位的规则。

8、的规则。 十进制数十进制数十进制采用十进制采用0, 1, 2, 3, 4, 5, 6, 7, 8, 90, 1, 2, 3, 4, 5, 6, 7, 8, 9十个数码,其计数十个数码,其计数规律是规律是“逢十进一逢十进一”。4587.29=4 103+5 102+8 101+7 100+2 10 1+9 10 2十进制的一般表达式为十进制的一般表达式为: :系数系数位权位权各位的权都是各位的权都是1010的幂。的幂。 十进制就是以十进制就是以1010为基数的计数体制。为基数的计数体制。 用电信号来表达或存储一位十进制数,要求电路存在用电信号来表达或存储一位十进制数,要求电路存在1010个明显个

9、明显不同的稳定状态,以分别表示不同的稳定状态,以分别表示1010个数码,这样电路会很复杂。个数码,这样电路会很复杂。二进制数二进制数1)1)1)1)二进制数的一般表达式为二进制数的一般表达式为二进制数的一般表达式为二进制数的一般表达式为: : : :二进制数中只有二进制数中只有0 0和和1 1两个数码。进位规律是:两个数码。进位规律是:“逢二进一逢二进一”. .各各位的权都是位的权都是2 2的幂。它是以的幂。它是以2 2为基数的计数体制。为基数的计数体制。例如:例如:1+1=1+1= 1010 = 1= 12 21 1+ 0+ 02 20 0位权位权系数系数二进制数只有二进制数只有0 0、1

10、1两个数码,它的表达、存储具有其他进制不可两个数码,它的表达、存储具有其他进制不可比拟的简单性,所以在数字电路和计算机中普遍采用。比拟的简单性,所以在数字电路和计算机中普遍采用。1)1)、十进制数转换成二进制数:、十进制数转换成二进制数: a. a. 整数的转换整数的转换: : “辗转相除辗转相除”法法: : 将十进制数连续不断地除以将十进制数连续不断地除以2 , 2 , 直至商为直至商为零,所得余数由低位到高位排列,即为所求二进制数零,所得余数由低位到高位排列,即为所求二进制数整数部分整数部分小数部分小数部分1.2.3 二二- -十进制之间的转换十进制之间的转换b. . 小数的转换小数的转换

11、: :对于二于二进制的小数部分可写成制的小数部分可写成 将上式两将上式两边分分别乘以乘以2,得得由此可由此可见,将十,将十进制小数制小数乘以乘以2,所得乘所得乘积的整数即的整数即为不不难推知,将十推知,将十进制小数每次去掉上次所得制小数每次去掉上次所得积中的整数再乘以中的整数再乘以2 2,直到直到满足足误差要求差要求进行行“四舍五入四舍五入”为止,就可完成由十止,就可完成由十进制小制小数数转换成二成二进制小数。制小数。解由于二进制数基数为解由于二进制数基数为2,所以逐次除以,所以逐次除以2,取其余数(,取其余数(0或或1):):6 6 商商余数余数101011LSBMSB所以所以 (53)D

12、= (110101)B例例 1 将十进制数将十进制数 (53)D 转换成二进制数。转换成二进制数。解:由于解:由于27为为128,而,而133128=5=2220,例例2 将将(133)D转换为二进制数转换为二进制数所以对应二进制数所以对应二进制数b7=1,b2=1,b0=1,其余各系数均为,其余各系数均为0,所以得所以得 (133)D=(10000101)B当十进制数较大时,可采用这种方法使转换过程简化。当十进制数较大时,可采用这种方法使转换过程简化。解由于精度要求达到解由于精度要求达到0.1%,需要精确到二进制小数,需要精确到二进制小数10位,位,即即1/210=1/1024。0.392

13、= 0.78 b-1= 00.782 = 1.56 b-2= 10.562 = 1.12 b-3= 10.122 = 0.24 b-4= 00.242 = 0.48 b-5= 00.482 = 0.96 b-6 = 00.962 = 1.92 b-7 = 10.922 = 1.84 b-8 = 10.842 = 1.68 b-9 = 10.682 = 1.36 b-10= 1所以所以 %1.0。例例3 将十进制小数将十进制小数(0.39)D转换成二进制数转换成二进制数, ,要求精度达到要求精度达到0.1%。3)3)3)3)二进制数波形表示二进制数波形表示二进制数波形表示二进制数波形表示 a a

14、、易于电路实现、易于电路实现-每一位数只有两个值,可以用管子每一位数只有两个值,可以用管子的导通或截止,灯泡的亮或灭、继电器触点的闭合或断开来的导通或截止,灯泡的亮或灭、继电器触点的闭合或断开来表示。表示。 b b、基本运算规则简单、基本运算规则简单, ,运算操作方便运算操作方便2)2)2)2)二进制数的优点二进制数的优点二进制数的优点二进制数的优点十进制十进制数数 223 LSB 1 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 21 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 22 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0

15、 0 0 0 0 0 0 1 1 1 1 1 1 1 1 MSB 3 2 1 0 7 6 5 4 10 11 8 14 9 15 12 13 a)a)a)a)二进制数据的串行传输二进制数据的串行传输二进制数据的串行传输二进制数据的串行传输4)4)4)4)二进制数据的传输二进制数据的传输二进制数据的传输二进制数据的传输 需要一根时钟信号线和一根数据传送线以及一根公共地线。需要一根时钟信号线和一根数据传送线以及一根公共地线。在时钟脉冲在时钟脉冲CP控制下,数据由最高位控制下,数据由最高位MSB到最低位到最低位LSB依次传送。依次传送。a)a)a)a)二进制数据的并行传输二进制数据的并行传输二进制数

16、据的并行传输二进制数据的并行传输 将一组二进制数据单元的所有位同时传送,称为并行传送。将一组二进制数据单元的所有位同时传送,称为并行传送。 并行传送的突出特点是数据传送速率快。其缺点是需要占用的并行传送的突出特点是数据传送速率快。其缺点是需要占用的数据线较多,而且发送和接收设备较复杂。数据线较多,而且发送和接收设备较复杂。3. 3. 3. 3. 八八八八 进进进进 制制制制 八进制数以八进制数以8 8为基数,采用为基数,采用0, 1, 2, 3, 4, 5, 6, 70, 1, 2, 3, 4, 5, 6, 7八个数码八个数码表示任何一位数。进位规律是表示任何一位数。进位规律是“逢八进一逢八进

17、一”。各位的权都是。各位的权都是8 8的的幂。幂。例如例如 (144)O =64+32+4=(100)D一般表达式一般表达式八进制就是以八进制就是以8 8为基数的计数体制。为基数的计数体制。1)1)1)1)、二进制转换成八进制:、二进制转换成八进制:、二进制转换成八进制:、二进制转换成八进制:2 2 2 2)、八进制转换成二进制:)、八进制转换成二进制:)、八进制转换成二进制:)、八进制转换成二进制: 将每位八进制数展开成三位二进制数,排列顺序不变即可。将每位八进制数展开成三位二进制数,排列顺序不变即可。转换时,由小数点开始,整数部分自右向左,小数部分自左转换时,由小数点开始,整数部分自右向左

18、,小数部分自左向右,三位一组,不够三位的添零补齐,则每三位二进制数向右,三位一组,不够三位的添零补齐,则每三位二进制数表示一位八进制数。表示一位八进制数。因为八进制的基数因为八进制的基数8=28=23 3 ,所以,可将三位二进制数表示一,所以,可将三位二进制数表示一位八进制数,即位八进制数,即 000000111 111 表示表示 0 07 7例例 ( (10110.011)10110.011)B B = =例例 ( (752.1)752.1)O O= =(26.3)(26.3)O O (111 101 010.001)(111 101 010.001)B B 十六进制数采用十六进制数采用0,

19、 1, 2, 3, 4, 5, 6, 7, 8, 9 , A0, 1, 2, 3, 4, 5, 6, 7, 8, 9 , A、B B、C C、D D、E E、F F十六个数码表示。进位规律是十六个数码表示。进位规律是“逢十六进一逢十六进一”。各位的权都是。各位的权都是1616的幂。的幂。4. 4. 4. 4. 十六进制十六进制十六进制十六进制一般表达式:一般表达式:一般表达式:一般表达式:1 1 1 1)、二进制转换成十六进制:)、二进制转换成十六进制:)、二进制转换成十六进制:)、二进制转换成十六进制: 2 2 2 2)、十六进制转换成二进制:)、十六进制转换成二进制:)、十六进制转换成二进

20、制:)、十六进制转换成二进制:因为因为1616进制的基数进制的基数16=216=24 4 ,所以,可将四位二进制数表示一,所以,可将四位二进制数表示一位位1616进制数,即进制数,即 000000001111 1111 表示表示 0-F0-F。例例 (111100010101110)B = =将每位将每位1616进制数展开成四位二进制数,排列顺序不变即可。进制数展开成四位二进制数,排列顺序不变即可。例例 (BEEF)H =(78AE)H (1011 1110 1110 1111)B十六进制的十六进制的优点优点优点优点 : 1 1、与二进制之间的转换容易;、与二进制之间的转换容易; 2 2、计数

21、容量较其它进制都大。假如同样采用四位数码,、计数容量较其它进制都大。假如同样采用四位数码,二进制最多可计至二进制最多可计至 (1111) B = (15)D;八八进制可制可计至至 (7777)O = (14095)D;十十进制可制可计至至 (9999)D;十六十六进制可制可计至至 (FFFF)H = (65535)D,即,即64K。其容量最大。其容量最大。 3 3、计算机系统中,大量的寄存器、计数器等往往按四位一、计算机系统中,大量的寄存器、计数器等往往按四位一组组排列排列, , 故使十六进制的使用独具优越性。故使十六进制的使用独具优越性。 几种数制之间的关系对照表几种数制之间的关系对照表十进

22、制数十进制数十进制数十进制数二进制数二进制数二进制数二进制数八进制数八进制数八进制数八进制数十六进制数十六进制数十六进制数十六进制数0 01 12 23 34 45 56 67 78 89 91010000000000000001000010001000010000110001100100001000010100101001100011000111001110100001000010010100101010010100 01 12 23 34 45 56 67 71010111112120 01 12 23 34 45 56 67 78 89 9A A十进制数十进制数十进制数十进制数二进制数二

23、进制数二进制数二进制数八进制数八进制数八进制数八进制数十六进制数十六进制数十六进制数十六进制数111112121313141415151616171718181919202001011010110110001100011010110101110011100111101111100001000010001100011001010010100111001110100101001313141415151616171720202121222223232424B BC CD DE EF F101011111212131314141.3二进制数的算术运算二进制数的算术运算当当两两个个二二进进制制数数码码表

24、表示示数数量量大大小小时时,它它们们之之间间可可以以进进行行数数值值运运算,称这种运算为算,称这种运算为算术运算算术运算。二二进进制制数数的的算算术术运运算算法法则则和和十十进进制制数数的的运运算算法法则则基基本本相相同同,只只是相邻两位之间的关系是是相邻两位之间的关系是“逢二进一逢二进一”及及“借一当二借一当二”。注注意意:位位二二进进制制数数码码0和和1,还还可可表表示示两两种种不不同同的的状状态态,即即数数字字电电路路中中的的逻逻辑辑状状态态。此此时时,二二进进制制数数码码0和和1不不表表示示数数值值的的大大小它们之间的运算是按照某种逻辑关系进行小它们之间的运算是按照某种逻辑关系进行逻辑

25、运算逻辑运算。1.3.1 1.3.1 无符号二进制数的算术运算无符号二进制数的算术运算1) 1) 加法规则:加法规则:0 0=0,1 1=0,1 0=1,0 1=112)2) 减法规则:减法规则:0+0=0,0+1=1,1+1=10例例3 计算两个二算两个二进制数制数 1010 和和 0101 的和。的和。解:解:例例4 计算两个二算两个二进制数制数 1010 1010 和和 0101 0101 的差。的差。解:解:3) 3) 乘法运算和除法运算乘法运算和除法运算 010011 0000010100000101 101 00101 X例例5 5 计算两个二进制数计算两个二进制数 1010和和0

26、101 的积。的积。解解:例例6 6 计算两个二算两个二进制数制数 1010和和111 之商。之商。解:解: 1.1 1 0 01 0 1 01 1 11 1 11 0 1 01 1 11 10 1 11 1 1.乘法运算由左移被乘数和加法运算乘法运算由左移被乘数和加法运算组成,除法运算由右移被除数成,除法运算由右移被除数与减法运算与减法运算组成。成。1.3.2带符号二进制的减法运算带符号二进制的减法运算二进制数的最高位表示符号位,且用二进制数的最高位表示符号位,且用0 0表示正数,用表示正数,用1 1表示负表示负数。其余部分数。其余部分用原码的形式表示用原码的形式表示数值位。数值位。有符号的

27、二进制数表示有符号的二进制数表示 : :1. 1. 二进制数的补码表示二进制数的补码表示补码或反码的最高位为符号位,正数为补码或反码的最高位为符号位,正数为0 0,负数为,负数为1 1。当二进制数为正数时,其补码、反码与原码相同。当二进制数为正数时,其补码、反码与原码相同。当二进制数为负数时,将原码的数值位逐位求反,然后在最低当二进制数为负数时,将原码的数值位逐位求反,然后在最低位加位加1 1得到补码。得到补码。(+11)D =(0 1011) B( 11)D =(1 1011) B减法运算的原理减法运算的原理: :减去一个正数相当于加上一个负数减去一个正数相当于加上一个负数A B=A+( B

28、),对,对( B)求补码,然后进行加法运算。求补码,然后进行加法运算。2. 2. 二进制补码的减法运算二进制补码的减法运算例例7 试用用4 4位二位二进制制补码计算算 5 2。解:因解:因为(5 2)补=(5)补+( 2) 补 =0101+1110 =0011所以所以 5 2=3 例例8 试用用4位二位二进制制补码计算算 5+7。3. 溢出溢出解决溢出的办法解决溢出的办法:进行位扩展。进行位扩展。解:因为解:因为(5+7)补补=(5)补补+(7) 补补=0101+0111=11004. 4. 溢出的判溢出的判别当方框中的当方框中的进位位与符号位(即位位与符号位(即b b3 3位)相反位)相反时

29、,则运运算算结果是果是错误的,的,产生溢出。生溢出。如何判断是否产生溢出?如何判断是否产生溢出?1.4二进制代码二进制代码代码:代码:表示某一特定信息的二进制数码。表示某一特定信息的二进制数码。码制:编制代码所要遵循的规则。码制:编制代码所要遵循的规则。二二进进制制代代码码的的位位数数n与与需需要要编编码码的的数数(或或信信息息)的的个个数数N之之间间应应满足以下关系:满足以下关系:2n-1 N 2n1.4.1 1.4.1 二二十进制码十进制码(BCD(BCD码码- Binary Coded Decimal- Binary Coded Decimal)用用4 4位二进制数来表示一位十进制数中的

30、位二进制数来表示一位十进制数中的 09 09 十个数码。十个数码。4 4 位二进制数有位二进制数有1616种代码,根据不同的规则从中选择种代码,根据不同的规则从中选择1010种来表示种来表示十进制的十进制的1010个数码的方案有很多种。每种方案产生一种个数码的方案有很多种。每种方案产生一种BCDBCD码。码。 十进制数十进制数十进制数十进制数码码码码84218421码码码码2421 2421 码码码码5421 5421 码码码码余余余余3 3码码码码余余余余3 3循环循环循环循环码码码码0 000000000000000000000000000110011001000101 100010001

31、000100010001000101000100011001102 200100010001000100010001001010101011101113 300110011001100110011001101100110010101014 401000100010001000100010001110111010001005 501010101101110111000100010001000110011006 601100110110011001001100110011001110111017 701110111110111011010101010101010111111118 81000100

32、0111011101011101110111011111011109 91001100111111111110011001100110010101010常用常用BCDBCD代码代码 00000000000100010010001000110011010001000101010101100110011101111000100010011001101010101011101111001100110111011110111011111111有权有权BCD码码即即代代码码中中的的每每位位二二进进制制数数码码都都有有确确定定的的位位权权值值。如如8421码码、2421码、码、5421码等。码等。对于有权

33、对于有权BCD码,可以根据位权展开求得所代表的十进制码,可以根据位权展开求得所代表的十进制数。例如:数。例如: ( ( ) )D BCD8421 7112141800111= = = = ( ( ) )D BCD2421 7112041211101= = = = 1)1)求求BCDBCD代码表示的十进制数代码表示的十进制数2)用用BCD代码表示十进制数代码表示十进制数对于一个多位的十进制数,需要有与十进制位数相同的几组对于一个多位的十进制数,需要有与十进制位数相同的几组BCD代码来表示。例如:代码来表示。例如:不能省略!不能省略!不能省略!不能省略!无权无权BCD码码即即代代码码没没有有确确定

34、定的的位位权权值值,不不能能按按照照位位权权展展开开求求解解所所代代表表的的十十进进制制数数,如如余余码码、余余循循环环码码等等。这这些些代代码码都都有有各各自自的的特特点点,适用于不同的场合。适用于不同的场合。 余码的特点余码的特点: 0和和9,1和和8.6和和4的余码互为反码的余码互为反码,这对于这对于求取对求取对10的补码很方便。的补码很方便。如将两个余如将两个余3码相加的和是十进制的码相加的和是十进制的10时,时,正好是二进制的正好是二进制的16,于是可从高位自动产生进位信号。,于是可从高位自动产生进位信号。余余3循环码:按余循环码:按余3循环码组成计数器时,由于任意相邻代码之间循环码

35、组成计数器时,由于任意相邻代码之间只有只有1位不同,所以每次转换过程位不同,所以每次转换过程只有一个触发器翻转只有一个触发器翻转,译码时,译码时不会发生竞争冒险现象。不会发生竞争冒险现象。1.4.2 1.4.2 格格格格 雷雷雷雷 码码码码 格雷码是一种无权码,其编码格雷码是一种无权码,其编码如表所示。如表所示。二进制码二进制码二进制码二进制码b b3 3b b2 2b b1 1b b0 0格雷码格雷码格雷码格雷码GG3 3GG2 2GG1 1GG0 000000000000100010010001000110011010001000101010101100110011101111000100

36、01001100110101010101110111100110011011101111011101111111100000000000100010011001100100010011001100111011101010101010001001100110011011101111111111110111010101010101110111001100110001000 编码特点是:任何编码特点是:任何两个相邻代两个相邻代码之间仅有一位不同。码之间仅有一位不同。 该特点常用于模拟量的转换。当该特点常用于模拟量的转换。当模拟量发生微小变化,而可能引起模拟量发生微小变化,而可能引起数字量发生变化时,

37、数字量发生变化时,格雷码仅仅改格雷码仅仅改变一位变一位,这与其它码同时改变,这与其它码同时改变2 2位位或更多的情况相比,更加可靠。或更多的情况相比,更加可靠。例如,例如,84218421码中的码中的01110111和和10001000是是相邻码,当相邻码,当7 7变到变到8 8时,四位均变了。时,四位均变了。若采用格雷码,若采用格雷码,01000100和和11001100是相邻是相邻码,仅最高一位变了。码,仅最高一位变了。二进制码到格雷码的转换二进制码到格雷码的转换(1)格雷码的最高位(最左边)与二进制码的最高位相同。)格雷码的最高位(最左边)与二进制码的最高位相同。(2)从左到右,逐一将二

38、进制码相邻的两位相加(舍去进位),作为格雷)从左到右,逐一将二进制码相邻的两位相加(舍去进位),作为格雷码的下一位。码的下一位。 1011 二进制码二进制码 1 1 1 0 格雷码格雷码格雷码到二进制码的转换格雷码到二进制码的转换(1)二进制码的最高位(最左边)与格雷码的最高位相同。)二进制码的最高位(最左边)与格雷码的最高位相同。(2)将产生的每一位二进制码,与下一位相邻的格雷码相加(舍去进位),)将产生的每一位二进制码,与下一位相邻的格雷码相加(舍去进位),作为二进制码的下一位。作为二进制码的下一位。 1.4.3 1.4.3 1.4.3 1.4.3 ASCII ASCII ASCII AS

39、CII 码码码码 (字符编码)(字符编码)(字符编码)(字符编码) ASCII ASCII码是美国标准信息交换码,它是用七位二码是美国标准信息交换码,它是用七位二进制码表示,其编码见进制码表示,其编码见P28 P28 表表1.4.3A 1.4.3A 。它共有它共有128128个代码,可以表示大、小写英文字母、个代码,可以表示大、小写英文字母、十进制数、标点符号、运算符号、控制符号等,十进制数、标点符号、运算符号、控制符号等,普遍用于计算机、键盘输入指令和数据等。普遍用于计算机、键盘输入指令和数据等。1.5 1.5 二值逻辑变量与基本逻辑运算二值逻辑变量与基本逻辑运算逻辑运算逻辑运算: : 当当

40、0 0和和1 1表示逻辑状态时,两个二进制数码按照某种表示逻辑状态时,两个二进制数码按照某种指定的因果关系进行的运算。逻辑运算指定的因果关系进行的运算。逻辑运算所使用的数学工具是逻所使用的数学工具是逻辑代数辑代数。逻辑运算可用逻辑代数表达式、真值表、逻辑图和硬件描述语逻辑运算可用逻辑代数表达式、真值表、逻辑图和硬件描述语言(言(HDL) HDL) 来描述。来描述。逻辑代数逻辑代数: :与普通代数不同之处是逻辑代数中的变量只有与普通代数不同之处是逻辑代数中的变量只有0 0和和1 1两两个可取值,它们用来表示完全对立的逻辑状态。个可取值,它们用来表示完全对立的逻辑状态。在逻辑代数中,有在逻辑代数中

41、,有与、或、非与、或、非三种基本的逻辑运算。三种基本的逻辑运算。 1. 1. 1. 1. 真值表真值表真值表真值表-描述逻辑关系的表格描述逻辑关系的表格描述逻辑关系的表格描述逻辑关系的表格 2. 2. 2. 2.逻辑表达式逻辑表达式逻辑表达式逻辑表达式-输入信号为自变量,输出为函输入信号为自变量,输出为函输入信号为自变量,输出为函输入信号为自变量,输出为函数的数学表达方式数的数学表达方式数的数学表达方式数的数学表达方式 3. 3. 3. 3. 逻辑符号逻辑符号逻辑符号逻辑符号-用规定的逻辑符号表示的图形,用规定的逻辑符号表示的图形,用规定的逻辑符号表示的图形,用规定的逻辑符号表示的图形,在画电

42、路时使用的符号在画电路时使用的符号在画电路时使用的符号在画电路时使用的符号除此之外,还可以用硬件描述语言(除此之外,还可以用硬件描述语言(HDL) HDL) 来表来表示逻辑运算。示逻辑运算。逻辑的几种表达方式逻辑的几种表达方式逻辑的几种表达方式逻辑的几种表达方式电路状态表电路状态表开关开关S1开关开关S2灯灯断断断断灭灭断断合合灭灭合合合合断断灭灭合合亮亮基本逻辑基本逻辑S1S2与逻辑举例与逻辑举例灯灯电源电源与与、或或、非非三种基本逻辑关系三种基本逻辑关系(1)(1)与运算与运算: : 只有当决定某一事件的条件全部具备只有当决定某一事件的条件全部具备时,这一事件才会发生。这种因果关系称为时,

43、这一事件才会发生。这种因果关系称为与逻辑与逻辑关系。关系。 逻辑真值表逻辑真值表ABL001010110001 与逻辑举例状态表开关开关S1开关开关S2灯灯断断断断灭灭断断合合灭灭合合合合断断灭灭合合亮亮逻辑表达式逻辑表达式与与逻辑:逻辑:L = A 运算符,逻辑乘。若不致混淆,可省略。运算符,逻辑乘。若不致混淆,可省略。与逻辑符号与逻辑符号ABL&ABL灭灭-0-0亮亮-1-1断断-0-0合合-1-1S1 -AS2-B灯灯-L 电路电路状态表状态表开关开关S1开关开关S2灯灯断断断断灭灭断断合合亮亮合合合合断断亮亮合合亮亮(2)或或运运算算: 只只要要在在决决定定某某一一事事件件的的各各种种

44、条条件件中中,有有一一个个或或几几个个条条件件具具备备时时,这这一一事事件件就就会会发发生生,这这种种因因果果关关系系就就称称为为或或逻逻辑辑关系。关系。S1灯灯电源电源 或逻辑举例或逻辑举例S2 逻辑真值表逻辑真值表ABL001010110111 或逻辑举例状态表开关开关S1开关开关S2灯灯断断断断灭灭断断合合合合合合断断合合亮亮逻辑表达式逻辑表达式或或逻辑:逻辑:L = A + 灭灭-0-0亮亮-1-1断断-0-0合合-1-1S1 -AS2-B灯灯-L或逻辑符号或逻辑符号ABLBL1A逻辑加逻辑加亮亮亮亮非逻辑举例状态表A灯灯不通电不通电亮亮通电通电灭灭(3) 非非运运算算: 事事件件发发

45、生生的的条条件件具具备备时时,事事件件不不会会发发生生;事事件件发发生生的的条条件件不不具具备备时时,事事件件发发生生。这这种种因因果果关关系系称称为为非非逻逻辑辑关关系。系。 A VNC 非逻辑举例非逻辑举例 非逻辑真值表AL0110非逻辑符号非逻辑符号逻辑表达式逻辑表达式线圈线圈(A)(A)通电通电- 1- 1 不通电不通电- 0- 0L = A 非逻辑举例状态表A灯灯不通电不通电亮亮通电通电灭灭灯灯(L)灭灭 -0 亮亮 -1A1LAL 两输入变量与非两输入变量与非逻辑真值表逻辑真值表ABL001010111110ABLAB&L与非逻辑符号与非逻辑符号4. 4. 几种常用的复合逻辑运算几

46、种常用的复合逻辑运算与非与非逻辑表达式逻辑表达式L = A B1) 1) 与非运算与非运算 两输入变量或非两输入变量或非逻辑真值表逻辑真值表ABL001010111000B1AABLL或非逻辑符号或非逻辑符号2) 2) 或非运算或非运算L = A+B或非逻辑表达式或非逻辑表达式3 )3 )异或运算异或运算若两个输入变量的值若两个输入变量的值相异相异,输出为,输出为1,否则为,否则为0。 异或逻辑真值表异或逻辑真值表ABL001010110110BAL=1ABL异或逻辑符号异或逻辑符号BABABAL = = = =异或逻辑表达式异或逻辑表达式4)同或同或运算运算若两个输入变量的值若两个输入变量的

47、值相同相同,输出为,输出为1,否则为,否则为0。同或逻辑真值表同或逻辑真值表ABL001010111001B=ALABL同或逻辑逻辑符号同或逻辑逻辑符号同或逻辑表达式同或逻辑表达式1.6逻辑函数及其表示方法逻辑函数及其表示方法abcdAB楼道灯开关示意图楼道灯开关示意图1、真值表表示方法真值表表示方法开关开关 A灯灯cdbdbcaa亮亮灭灭灭灭亮亮开关开关 B开关状态表开关状态表开关开关: 变量变量 A,B灯灯 : 函数函数 L逻辑抽象,列出真值表逻辑抽象,列出真值表A, B : 向上向上-1; 向下向下-0L : 亮亮-1; 灭灭-0确定变量、函数,并赋值确定变量、函数,并赋值 逻辑真值表逻

48、辑真值表ABL001100010111AC灯灯电源电源B思考思考: 试列出以下开关电路的逻辑真值表。试列出以下开关电路的逻辑真值表。2、逻辑函数表达式表示、逻辑函数表达式表示把把每每个个输输出出为为1的的一一组组输输入入变变量量组组合合状状态态写写成成乘乘积积项项的的形形式式。在在乘乘积积项项中中,逻逻辑辑值值为为1用用原原变变量量表表示示,逻逻辑辑值值为为0用用反反变变量量表表示示,最最后后将将所所有有的的这这些些乘乘积积项项进进行行逻逻辑辑加,即得逻辑函数的与或表达式。加,即得逻辑函数的与或表达式。 逻辑真值表逻辑真值表ABL001100010111由真值表写逻辑函数表达式的由真值表写逻辑

49、函数表达式的方法:方法: 3、 逻辑图表示方法逻辑图表示方法 根据逻辑表达式画出逻辑图的根据逻辑表达式画出逻辑图的方法:用逻辑符号代替逻辑表达式中方法:用逻辑符号代替逻辑表达式中各变量之间的逻辑关系所得到的图形。各变量之间的逻辑关系所得到的图形。 异或逻辑真值表异或逻辑真值表ABL000101011110AB0000110B14. 波形图表示方法波形图表示方法根据真值表画出波形图根据真值表画出波形图方法:将真值表中的变量和函数的对应值方法:将真值表中的变量和函数的对应值分别用高、低电平表示。分别用高、低电平表示。1.6.2逻辑函数表示方法之间的转换逻辑函数表示方法之间的转换 逻辑函数的真值表、

50、逻辑函数表达式、逻辑图、波形图、逻辑函数的真值表、逻辑函数表达式、逻辑图、波形图、卡诺图及卡诺图及HDL描述之间可以相互转换。这里介绍两种转换。描述之间可以相互转换。这里介绍两种转换。1.真值表到逻辑图的转换真值表到逻辑图的转换ABCL00000010010001111000101011011110真值表如右表。真值表如右表。转换步骤:转换步骤:(1)根据真值表写出逻辑表达式根据真值表写出逻辑表达式(2)化简逻辑表达式(第化简逻辑表达式(第2章介绍)章介绍)上式不需要简化上式不需要简化(3)根据与或逻辑表达式画逻辑图根据与或逻辑表达式画逻辑图2. 逻辑图到真值表的转换逻辑图到真值表的转换转换步

51、骤:转换步骤:(1)根据逻辑图逐级写出表达式根据逻辑图逐级写出表达式(2)化简变换求最简与或式化简变换求最简与或式(3)将输入变量的所有取值逐一代入表将输入变量的所有取值逐一代入表达式得真值表达式得真值表ABL000011101110用与、或、非符号代替相应的用与、或、非符号代替相应的逻辑符号,注意运算次序。逻辑符号,注意运算次序。小小 结结用用0和和1可以组成二进制数表示是数量的大小,也可以表示对可以组成二进制数表示是数量的大小,也可以表示对立的两种逻辑状态。数字系统中常用二进制数来表示数值。立的两种逻辑状态。数字系统中常用二进制数来表示数值。 在微处理器、计算机和数据通信中,采用十六进制。

52、任意在微处理器、计算机和数据通信中,采用十六进制。任意一种格式的数可以在十六进制、二进制和十进制之间相互转换。一种格式的数可以在十六进制、二进制和十进制之间相互转换。 二进制数有加、减、乘、除四种运算,加法是各种运算的二进制数有加、减、乘、除四种运算,加法是各种运算的基础。基础。特殊二进制码常用来表示十进制数。如特殊二进制码常用来表示十进制数。如8421码、码、2421码、码、5421码、余三码、余三码循环码、格雷码等。码、余三码、余三码循环码、格雷码等。 与、或、非是逻辑运算中的三种基本运算。数字逻辑是计与、或、非是逻辑运算中的三种基本运算。数字逻辑是计算机的基础。逻辑函数的描述方法有真值表、逻辑函数表达式、算机的基础。逻辑函数的描述方法有真值表、逻辑函数表达式、逻辑图、波形图和卡诺图等。逻辑图、波形图和卡诺图等。作作 业业P3739 1.1.4、1.2.8(3)(4)、1.2.10(2)(3)、1.4.1(3) 1.4.3(3) 、1.4.5(3)

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 研究生课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号