数字系统EDA技术:第四章 QuartusⅡ软件入门

上传人:ni****g 文档编号:573703431 上传时间:2024-08-15 格式:PPT 页数:65 大小:12.27MB
返回 下载 相关 举报
数字系统EDA技术:第四章 QuartusⅡ软件入门_第1页
第1页 / 共65页
数字系统EDA技术:第四章 QuartusⅡ软件入门_第2页
第2页 / 共65页
数字系统EDA技术:第四章 QuartusⅡ软件入门_第3页
第3页 / 共65页
数字系统EDA技术:第四章 QuartusⅡ软件入门_第4页
第4页 / 共65页
数字系统EDA技术:第四章 QuartusⅡ软件入门_第5页
第5页 / 共65页
点击查看更多>>
资源描述

《数字系统EDA技术:第四章 QuartusⅡ软件入门》由会员分享,可在线阅读,更多相关《数字系统EDA技术:第四章 QuartusⅡ软件入门(65页珍藏版)》请在金锄头文库上搜索。

1、QuartusQuartus软件入门软件入门2 Quartus Quartus n美国美国Altera公司公司自行设计的第四代自行设计的第四代PLD开发软件开发软件n可以完成可以完成PLD的设计输入、逻辑综合、布局与布的设计输入、逻辑综合、布局与布线、仿真、时序分析、器件编程的全过程线、仿真、时序分析、器件编程的全过程n同时还支持同时还支持SOPC(可编程片上系统)设计开发(可编程片上系统)设计开发QuartusQuartus简介简介 QuartusQuartus提供了方便的设计输入方式、快速的编提供了方便的设计输入方式、快速的编译和直接易懂的器件编程。能够支持逻辑门数在千万译和直接易懂的器件

2、编程。能够支持逻辑门数在千万门以上的逻辑器件的开发,并且为第三方工具提供了门以上的逻辑器件的开发,并且为第三方工具提供了无缝接口。无缝接口。QuartusQuartus支持的器件有:最新的支持的器件有:最新的StratixStratix系列、系列、 Cyclone Cyclone系列、系列、MAX VMAX V、MAX MAX 、MercuryMercury、MAX3000AMAX3000A、MAX 7000BMAX 7000B、MAX 7000SMAX 7000S、MAX 7000AEMAX 7000AE、FLEX6000FLEX6000、FLEX10KFLEX10K、FLEX10KAFLE

3、X10KA、FLEX10KEFLEX10KE、APEX APEX 、APEX20KCAPEX20KC、APEX20KEAPEX20KE和和ACEX1KACEX1K系列。系列。QuartusQuartus软件包软件包的编程器是系统的核心,提供功能强大的设计处理,的编程器是系统的核心,提供功能强大的设计处理,设计者可以添加特定的约束条件来提高芯片的利用率。设计者可以添加特定的约束条件来提高芯片的利用率。 QuartusQuartus运行界面运行界面 启动启动Quartus Quartus 双击桌面上的双击桌面上的QuartusQuartus图标或单击开始按扭,在程序菜单图标或单击开始按扭,在程序菜

4、单中选择中选择QuartusQuartus,可以启动,可以启动QuartusQuartus。其初始界面如图。其初始界面如图所示。所示。 Quartus IIQuartus II软件的设计过程主要包括:软件的设计过程主要包括:创建项目创建项目输入设计电路(文本、图形等)输入设计电路(文本、图形等)编译综合编译综合仿真仿真增加约束条件(如引脚分配等)增加约束条件(如引脚分配等)编译综合编译综合编程下载编程下载 QuartusQuartus设计流程介绍设计流程介绍 为项目建立一个文件夹为项目建立一个文件夹目录名必须符合目录名必须符合VHDL语言的标识符要求,语言的标识符要求,路径中不能有中文路径中不

5、能有中文QuartusQuartus设计流程设计流程 1 1创建项目创建项目1 1创建项目创建项目 利利用用Quartus Quartus IIII提提供供的的新新建建工工程程指指南南可可以以帮帮助助我我们们很很容容易易的的建建立立一一个个工工程程:在在主主菜菜单单上上选选择择FileNew FileNew Project Project Wizard Wizard 将弹出如下图所示对话框。将弹出如下图所示对话框。 QuartusQuartus设计流程设计流程 1 1创建项目创建项目 利利用用Quartus Quartus IIII提提供供的的新新建建工工程程指指南南可可以以帮帮助助我我们们很

6、很容容易易的的建建立立一一个个工工程程:在在主主菜菜单单上上选选择择FileNew FileNew Project Project Wizard Wizard 将弹出如下图所示对话框。将弹出如下图所示对话框。 QuartusQuartus设计流程设计流程 按按NextNext按按钮钮,将将会会弹弹出出如如下下图图所所示示的的工工程程设设置置对话框。对话框。 1 1创建项目创建项目设置项目工程路径:该工程中的所设置项目工程路径:该工程中的所有相关文件都将存放在该文件夹中有相关文件都将存放在该文件夹中 新建工程的名称,新建工程的名称,建议与顶层设计建议与顶层设计实体名相同实体名相同工程的顶工程的顶

7、层设计实层设计实体名称体名称 加入文件对话框:加入文件对话框: 可以在可以在FileFile空白处空白处选择添入其他已存在的设计文件加入到选择添入其他已存在的设计文件加入到这个工程中,也可以使用这个工程中,也可以使用User Library User Library PathnamesPathnames按钮把用户自定义的库函数加按钮把用户自定义的库函数加入到工程中使用。完成后按入到工程中使用。完成后按NextNext按钮进按钮进入下一步。若没有文件加入则直接按入下一步。若没有文件加入则直接按NextNext按钮进入下一步。按钮进入下一步。 选择已有的设计文选择已有的设计文件加入当前工程件加入当

8、前工程设计文件可以是设计文件可以是VHDL文件(文件(.vhd)、)、VerilogHDL文件(文件(.v)、图形、图形输入法文件输入法文件(.gdf)、其、其它综合器综合的中间文它综合器综合的中间文件件(.edf)等。等。加入用户自己定义的函加入用户自己定义的函数库的路径及文件名数库的路径及文件名n选择器件(该步骤可直接跳过,设计过程中亦可进行设置)选择器件(该步骤可直接跳过,设计过程中亦可进行设置)nDE2-115开发板所用器件型号为开发板所用器件型号为EP4CE115F29C7下面弹出的是选择可编程逻辑器件对话框,如下图所示。下面弹出的是选择可编程逻辑器件对话框,如下图所示。1 1创建项

9、目创建项目选择某系列器选择某系列器件的具体型号件的具体型号选择器件系列选择器件系列是手动选择器件还是手动选择器件还是编译器自动选择是编译器自动选择选择综合工具或仿真工具(选择综合工具或仿真工具(Quartus11版本无版本无自带仿真工具,因此仿真工具必须选择,此处使自带仿真工具,因此仿真工具必须选择,此处使用用ModelSim),其它工具使用),其它工具使用Quartus自带工自带工具。具。1 1创建项目创建项目选择仿真工具选择仿真工具最最后后是是由由新新建建工工程程指指南南建建立立的的工工程程文文件件摘摘要要,显显示示了了上上面面的的全全部部设设置置选选项项。至至此此,新新工工程程建建立立完

10、完毕毕,在在QuartusIIQuartusII设设计计软软件件界界面面的的顶顶部部标标题题栏栏将将显显示示工工程程名名称和存储路径。称和存储路径。1 1创建项目创建项目2 2输入设计电路输入设计电路 单击标题栏中的单击标题栏中的FileNewFileNew对话框,弹出对话框,弹出newnew选项卡。选项卡。选选择择“DesignFiles-VHDLFile”选项,并单击选项,并单击【OK】按钮,按钮,则打开则打开vhdl文本编辑器窗口。文本编辑器窗口。QuartusQuartus设计流程设计流程 VerilogHDL语言语言输入文件输入文件原理图输原理图输入文件入文件VHDL语言输语言输入文

11、件入文件 在文本编辑区域输入程序代码,并保存文件。注意:文在文本编辑区域输入程序代码,并保存文件。注意:文件名与实体名必须一致。件名与实体名必须一致。文本编辑区文本编辑区MAX+Plus2库库参数化的函数库参数化的函数库基本逻辑元件库基本逻辑元件库基本逻辑元件库中的元件基本逻辑元件库中的元件输入所需要的元件名输入所需要的元件名2 2输入设计电路输入设计电路图形设计界面图形设计界面2 2输入设计电路输入设计电路图形设计界面图形设计界面n若一个项目中有多个文件,需选择其中一个待若一个项目中有多个文件,需选择其中一个待综合的文件作为顶层文件。综合的文件作为顶层文件。在在Files选项卡中找选项卡中找

12、到需要编译的文件,点击鼠标右键选择到需要编译的文件,点击鼠标右键选择“setastop-levelentity”将该文件置为顶层文件。将该文件置为顶层文件。3、编译综合、编译综合n在在主菜单中选择主菜单中选择”Processing”-“StartCompilation”,或或按钮,对当前顶层文件进行编译综合。综合后报告按钮,对当前顶层文件进行编译综合。综合后报告如下图:如下图:3、编译综合、编译综合综合的顶层文件名综合的顶层文件名综合时选择的器件名综合时选择的器件名综合后所消耗资源综合后所消耗资源综合后该设计所消综合后该设计所消耗管脚数耗管脚数综合后所消耗的综合后所消耗的Memory(EAB)

13、n综合后的各种信息显示(包括警告和错误等);综合后的各种信息显示(包括警告和错误等);n对于错误必须要根据错误信息对代码或系统一些设对于错误必须要根据错误信息对代码或系统一些设置进行修改,具体需要看是何种错误;置进行修改,具体需要看是何种错误;n对于警告信息需要判断该警告信息是否会影响所设对于警告信息需要判断该警告信息是否会影响所设计的功能;计的功能;3、编译综合、编译综合n将设计实体设置成可调用的元件:在设计文件上将设计实体设置成可调用的元件:在设计文件上点鼠标右键点鼠标右键-CreateSymbolFilesforCurrentFile3、编译综合、编译综合4、仿真、仿真-设置设置(使用使

14、用ModelSim仿真工具仿真工具)一般在设计开始之前就做好仿真工具的设置一般在设计开始之前就做好仿真工具的设置若在项目创建过程中已设置则不需要再设置若在项目创建过程中已设置则不需要再设置选择仿真工具选择仿真工具ModelSim的路径的路径4、仿真、仿真项目仿真设置项目仿真设置选择选择Assignments-Settings若在项目创建过程中已设置则不需要再设置若在项目创建过程中已设置则不需要再设置选择选择Simulation选择仿真工具选择仿真工具ModelSim打勾打勾,程序编译程序编译后可自动启动后可自动启动ModelSim软件软件选择所用的选择所用的HDL语言语言增加测试增加测试程序文

15、件程序文件用于仿真的用于仿真的网表保存位网表保存位置置4、仿真、仿真-测试文件的设置测试文件的设置测试文件名测试文件名仿真结束时间仿真结束时间增加测试文件增加测试文件指定例化的元指定例化的元件名称件名称4、仿真、仿真-编写测试程序(编写测试程序(test Bench)testbench是一种验证的手是一种验证的手段段FPGA的的testbench就是一就是一个个.vt(verilog)或或.vht(vhdl)的文件。这个文件能给你的的文件。这个文件能给你的设计提供激励,并能在一些设计提供激励,并能在一些专用的软件如专用的软件如ModelSim中中提供良好的提供良好的debug接口。接口。4、仿

16、真、仿真-编写测试程序(编写测试程序(test Bench)自动为当前工程的顶层实体生成后缀名为自动为当前工程的顶层实体生成后缀名为.vht的的testBench模板文件:模板文件:processing-start-startTestBenchTemplateWriter。在模板文件中设置激。在模板文件中设置激励信号。励信号。4、仿真、仿真-ModelSim仿真软件启动仿真软件启动按照上述方式设置后,按照上述方式设置后,ModelSim自动启动、添加波形并自动启动、添加波形并按照所设置的仿真结束时间完成仿真;按照所设置的仿真结束时间完成仿真;若不使用若不使用Testbench(不推荐),(不推

17、荐),ModelSim启动后选择启动后选择设计文件,点右键在弹出的窗口中选择设计文件,点右键在弹出的窗口中选择Simulate4、仿真仿真-增加要观测的增加要观测的MessagesObjects窗口中选中要观测的对象,选择右键窗口中选中要观测的对象,选择右键-add-towave-selectedsignals4、仿真仿真-增加要观测的增加要观测的Messages设定输入时钟(设定输入时钟(clk)force-freezesim:/cntex/clk10,050ns-r1004、仿真仿真-增加要观测的增加要观测的Messages设定输入信号量设定输入信号量force-drivesim:/cnt

18、ex/nrst004、仿真仿真-增加要观测的增加要观测的Messages设定输入信号量设定输入信号量Freeze的赋值强度最强,的赋值强度最强,Drive次之,次之,Deposit最弱。最弱。ModelSim是一个严格的是一个严格的HDL仿仿真器,对于寄存器不指定默真器,对于寄存器不指定默认认值,在信号的初始化时要对寄值,在信号的初始化时要对寄存器赋值,这时不可赋予存器赋值,这时不可赋予Freeze,那样的话,寄存器的值那样的话,寄存器的值就不能被其他信号驱动而就不能被其他信号驱动而改变,改变,一般要用一般要用Deposit赋值。同样,赋值。同样,inout等类型信号一等类型信号一般情况下般情

19、况下也不能用也不能用Freeze赋值。赋值。force-drivesim:/cntex/nrst150ns4、运行仿真,查看仿真结果、运行仿真,查看仿真结果每次运行仿真的时间每次运行仿真的时间运行运行通过放大缩小调通过放大缩小调整视窗显示大小整视窗显示大小可改变数组显示可改变数组显示5、增加约束条件增加约束条件-设设置器件管脚属置器件管脚属性性等等nAssignmentsDevice选择待下载的器件(若在选择待下载的器件(若在创创建建项目向导中未选择项目向导中未选择,此时需要设置),此时需要设置)n进行管脚分配:进行管脚分配:AssignmentsPinplannern在完成管脚分配或增加其它

20、约束条件后一定要重新综合一次。在完成管脚分配或增加其它约束条件后一定要重新综合一次。管脚分配需要根管脚分配需要根据开发板实际的管据开发板实际的管脚使用情况进行分脚使用情况进行分配配5、增加约束条件增加约束条件-设置器件管脚属性设置器件管脚属性等等5、DE2-115开发板管脚分配方法开发板管脚分配方法2n将工程中所有的输入输出信号定义成与将工程中所有的输入输出信号定义成与DE2-115开发板的引开发板的引脚配置文件脚配置文件中的信号名字相同中的信号名字相同;n再再通过将引脚配置文件通过将引脚配置文件DE2_115_pin_assignments.csv导导入工程的方式自动配置各信号引脚编号,而不

21、必一个一个入工程的方式自动配置各信号引脚编号,而不必一个一个的指定信号的引脚编号。的指定信号的引脚编号。n导入引脚配置文件:导入引脚配置文件:n选择选择Assignments-ImportAssignments-选择文件选择文件“DE2_115_pin_assignments.csv”-OK6、编程下载编程下载nToolsProgrammern下载基本步骤:接通目标板的电源、选择下载电缆、选择下载方下载基本步骤:接通目标板的电源、选择下载电缆、选择下载方式、选择下载文件、下载式、选择下载文件、下载n实验中,下载电缆选择实验中,下载电缆选择USB-Blaster,下载模式选择,下载模式选择JTA

22、G、下载、下载文件名的后缀为文件名的后缀为.sof。选择下载电缆选择下载电缆:USB-Blaster选择下选择下载文件载文件选择下载模选择下载模式式:JTAG开始下载开始下载按钮按钮n选择下载电缆,在选择下载电缆,在HardwareSettings一栏内一栏内选择选择AddHardware后,再选择后,再选择USB-Blaster(确保确保USB线连接到开发板的正确接口,线连接到开发板的正确接口,并上电并上电)6、编程下载编程下载-下载下载电缆选择电缆选择7、Quartus其它功能介绍其它功能介绍n将当前设计文件生成一个将当前设计文件生成一个symbol,该,该symbol作为低层元件在图形输

23、入法中被调用作为低层元件在图形输入法中被调用选择该功能选择该功能可生成对应的可生成对应的symboln选择选择ToolsNetlistViewersRTLViewer查查看综合器生成的看综合器生成的RTL级电路图级电路图7、Quartus其它功能介绍其它功能介绍n使用使用ToolsMegaWizardPlug-Inmanager输出输出Altera公司提供的一些元件公司提供的一些元件库库,其中也包,其中也包括括Nios嵌入式软核嵌入式软核7、Quartus其它功能介绍其它功能介绍库列表库列表选择器件选择器件选择最后输选择最后输出的文件类型出的文件类型选择输出文件名选择输出文件名及存放路径及存放

24、路径8、总结总结n设计基本流程:创建项目设计基本流程:创建项目设计输入设计输入综合综合仿真仿真增加约束条件(如管脚分配)增加约束条件(如管脚分配)综合综合编程下载。编程下载。41 实验系统箱介绍实验系统箱介绍42一一 实验箱组成实验箱组成1、Altera DE2-115开发板开发板2、开发软件光盘、开发软件光盘3、实验箱资料光盘、实验箱资料光盘4、12V2A直流电源直流电源5、USB下载线下载线6、插针、插针7、杜邦线、杜邦线8、远程控制器、远程控制器43二二 DE2-115开发板介绍开发板介绍开发板正面开发板正面44开发板背面开发板背面45开发板系统框图开发板系统框图46板上主要资源板上主要

25、资源FPGA主芯片主芯片 Cyclone IV EP4CE115F29 device 114,480个逻辑单元个逻辑单元 3,888 Kbits 内置内存内置内存 4 个锁相环个锁相环FPGA 配置模式配置模式 支持支持JTAG 和和AS(主从)调试模式(主从)调试模式 采用板上采用板上USB Blaster电路支持上述调试模式电路支持上述调试模式47存储单元存储单元 128MB (32Mx32bit) SDRAM 2MB (1Mx16) SRAM 8MB (4Mx16) Flash with 8-bit mode 32Kb EEPROMSD卡槽卡槽 支持支持SPI和和4-bit SD模式读取

26、模式读取SD卡卡时钟时钟 内置三个内置三个50MHz 的内置时钟输入的内置时钟输入 支持内部时钟的输出和外部时钟输入支持内部时钟的输出和外部时钟输入音频音频 24-bit编码编码/解码器解码器 支持输入线支持输入线/输出线输出线/麦克风的热插拔麦克风的热插拔48外部接口外部接口 2个网口(个网口( 10/100/1000 Mbps ) 高速夹层卡高速夹层卡(HSMC) 40针的可配置针的可配置I/O 接口接口(voltage levels:3.3/2.5/1.8/1.5V) USB接口接口 o 提供基于提供基于USB 2.0的主从模式的主从模式USB控制方式控制方式o 支持高支持高/低两种低两

27、种USB速率速率 o 提供提供PC机驱动机驱动 VGA视频输出连接器视频输出连接器 RS-232接口接口 PS/2 鼠标和键盘接口鼠标和键盘接口49显示显示 16x2点阵的点阵的LCD显示屏显示屏开关和指示灯开关和指示灯 18个滑动开关和个滑动开关和4个按键开关个按键开关 18个红色和个红色和4个绿色个绿色LED指示灯指示灯 8个七段个七段LED显示灯显示灯其他特性其他特性 红外远程接收模块红外远程接收模块 TV 解码和接收模块,支持解码和接收模块,支持NTSC/PAL/SECAM电源电源 12V2A直流电源直流电源 采用采用LM3150MH电源模块电源模块50系统上电及确定开发板是否是好的系

28、统上电及确定开发板是否是好的DE2-115开发板中内置有相关程序,当系统正确启动时,整开发板中内置有相关程序,当系统正确启动时,整个板子将有相应反应,正确启动板子的步骤如下:个板子将有相应反应,正确启动板子的步骤如下: 在电脑端安装在电脑端安装Altera USB Blaster驱动(实验室电脑已驱动(实验室电脑已安装好该驱动)安装好该驱动) 将红色的将红色的ON/OFF开关处于开关处于OFF位置,然后连接电源线位置,然后连接电源线 将将RUN/PROG开关置于开关置于RUN位置,位置,PROG位置只用于位置只用于AS程序下载模式程序下载模式 将将ON/OFF开关处于开关处于ON位置开启系统位

29、置开启系统系统正确启动后,将观察到以下现象:系统正确启动后,将观察到以下现象: 所有所有LED灯将闪烁灯将闪烁 7段段LED显示管将循环显示显示管将循环显示0到到F LCD显示屏将显示显示屏将显示Welcome to the Altera DE2-11551三三 DE2-115开发板使用说明开发板使用说明1、 DE2-115配置模式配置模式DE2-115开发板支持以下两种配置模式,从电脑端下载程序开发板支持以下两种配置模式,从电脑端下载程序到到FPGA中中JTAG模式:模式: IEEE standards Joint Test Action Group,该模式下程序将直接下载到,该模式下程序将

30、直接下载到FPAG芯片芯片Cyclone IV中,中,该模式下当该模式下当FPAG芯片掉电时,程序将丢失,主要用作仿芯片掉电时,程序将丢失,主要用作仿真调试学习,也真调试学习,也是我们实验中所采取的程序下载方式是我们实验中所采取的程序下载方式。AS模式:模式: Active Serial programming,该模式下程序将,该模式下程序将下载到下载到EPCS64存储芯片中,程序将固化在存储芯片中,程序将固化在EPCS64中,当中,当系统上电时,固化在系统上电时,固化在EPCS64中的程序将自动配置到中的程序将自动配置到FPAG主芯片中,主要用作实际的成型实际产品。主芯片中,主要用作实际的成

31、型实际产品。 EPCS64是有是有烧写次数限制的,一般在烧写次数限制的,一般在100多次,因此多次,因此禁止在实验中使禁止在实验中使用该配置模式。用该配置模式。52JTAG模式配置步骤模式配置步骤 将将JP3的的pin1和和pin2用跳线连接用跳线连接JTAG连连接接框框图图JP3位位置置注:将注:将pin1和和pin2连接,连接,Quartus II将将只识别板上只识别板上FPGA芯芯片,若将片,若将JP3的的pin2和和pin3连接,则进连接,则进入入HSMC模式,可模式,可识别其他识别其他FPGA芯片芯片53 系统上电系统上电 将将RUN/PROG( SW19 )滑动开关达到)滑动开关达

32、到RUN位置,进位置,进入入JTAG下载模式下载模式JTAG连连接接框框图图SW19 位位置置54 通过通过USB线将电脑与开发板的线将电脑与开发板的USB Blaster口相连接口相连接 通过通过Quartus II将将.sof文件下载到文件下载到FPAG芯片芯片Cyclone IV中中552、 从从Quartus II下载程序到下载程序到FPGA中中 打开打开Quartus II ,选择,选择Tools Programmer打开如下界面打开如下界面 选择选择Hardware Setup: USB-Blaster, Mode:JTAG56 通过通过Add File添加添加.sof文件文件 5

33、7 在下图中选择在下图中选择Device为为EP4CE115F29C7(开发板(开发板FPGA的主芯片),并将的主芯片),并将Program/Configure打钩选上打钩选上 选择选择Start开始下载,可看进度条确认下载是否完成,另开始下载,可看进度条确认下载是否完成,另外当成功下载后,板子的一个外当成功下载后,板子的一个LED灯将被点亮。若下载失败,灯将被点亮。若下载失败,请检查板子的硬件连接请检查板子的硬件连接583、 使用按键开关和滑动开关使用按键开关和滑动开关按键开关按键开关 系统提供系统提供4个按键开关个按键开关 按键开关通过按键开关通过Schmitt Trigger与与FPGA

34、芯片芯片Cyclone IV相连接,即按键之后相连接,即按键之后Schmitt Trigger有几个时钟的时延,有几个时钟的时延,才会响应到才会响应到FPGA中中 未按:高电位,按键:低电位未按:高电位,按键:低电位 一般用做一般用做Reset键键 可使用信号名可使用信号名KEY直接控制按键开关,此时需将板子直接控制按键开关,此时需将板子的引脚配置文件的引脚配置文件DE2-115 pin assignments.csv导入导入Quartus II中,后续的控制都可以采用这种方法中,后续的控制都可以采用这种方法59滑动开关滑动开关 系统提供系统提供18个滑动开关个滑动开关 滑动开关通与滑动开关通

35、与FPGA芯片芯片Cyclone IV直接连接,无响应直接连接,无响应时延时延 Up:高电位,:高电位,Down:低电位:低电位 一般用做为数据输入键一般用做为数据输入键6018个滑动开关对应的个滑动开关对应的I/O口口614、 使用使用LED灯灯 系统提供系统提供28个用户可控个用户可控LED灯灯 其中其中18个红色个红色LED灯位于灯位于18个滑动开关位置之上,个滑动开关位置之上,8个个绿色绿色LED灯位于灯位于4个按键开关位置之上,第九个绿色个按键开关位置之上,第九个绿色LED灯位于灯位于7段段LED显示管之间显示管之间 FPGA通过通过I/O口高低电位控制口高低电位控制LED灯,灯,高

36、电位:开,高电位:开,低电位:关低电位:关625、 使用使用7段显示管段显示管 系统提供系统提供8个个7段显示管段显示管 FPGA通过引脚高低电位控制通过引脚高低电位控制LED灯,灯,高电位:关,低高电位:关,低电位:开电位:开63646、 使用时钟使用时钟 系统提供系统提供1个个50Mhz的物理时钟的物理时钟 该物理时钟通过时钟缓存分作三组连接到该物理时钟通过时钟缓存分作三组连接到FPGA的芯片的芯片中中 系统支持外来时钟输入:系统支持外来时钟输入: SMA_CLKIN,也支持系统时,也支持系统时钟输出:钟输出: SMA_CLKOUT657、 使用使用LCD显示屏显示屏 LCD主控芯片为主控芯片为HD44780,详细介绍见,详细介绍见DE2_115_datasheetsLCD 支持字符显示支持字符显示注:注: LCD_BLON目前用户不可用目前用户不可用

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 研究生课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号