课程设计多路无线报警系统设计

上传人:工**** 文档编号:572137993 上传时间:2024-08-12 格式:PDF 页数:31 大小:2.23MB
返回 下载 相关 举报
课程设计多路无线报警系统设计_第1页
第1页 / 共31页
课程设计多路无线报警系统设计_第2页
第2页 / 共31页
课程设计多路无线报警系统设计_第3页
第3页 / 共31页
课程设计多路无线报警系统设计_第4页
第4页 / 共31页
课程设计多路无线报警系统设计_第5页
第5页 / 共31页
点击查看更多>>
资源描述

《课程设计多路无线报警系统设计》由会员分享,可在线阅读,更多相关《课程设计多路无线报警系统设计(31页珍藏版)》请在金锄头文库上搜索。

1、 1 目 录 摘要 关键词 1 绪论. 1 1.1 国内外报警系统的研究现状和水平. 1 1.2 多路无线报警系统的研究意义. 2 1.3 多路无线报警系统设计的总体概述. 2 2 多路无线报警系统结构原理与设计. 3 2.1 多路无线报警系统的基本原理. 4 2.2 多路无线报警系统的组成设计. 4 3 多路无线报警系统的组成. 5 3.1 发射电路的组成. 5 3.2 热释电红外传感器的介绍. 6 3.3 放大器介绍. 7 3.4 比较器介绍. 9 3.5 电源控制电路的介绍. 10 3.6 编码 VD5026/译码 VD5027 的介绍 . 11 3.7 接收电路的组成. 12 3.8

2、7 段 LED 显示器介绍 . 14 3.9 无线发射和接收模块. 17 4 单片机控制电路的设计. 18 4.1 单片机介绍及应用. 18 4.2 AT89C2051 芯片介绍 . 20 5 多路无线报警系统电路总图. 26 5.1 应用软件 Protel DXP 简介 . 27 5.2 多路无线报警器总图. 27 结 论. 29 参 考 文 献. 30 多路无线报警系统设计 第 1 页 共 30 页 1 摘 要:近年来,随着改革开放的深入发展,电子电器的飞速发展,人民的生活水平有了很大提高。 各种高档家电产品和贵重物品为许多家庭所拥有。 然而一些不法分子也是越来越多。 因为大部分人防盗意识

3、还不够强, 造成偷盗现象屡见不鲜。因此,越来越多的居民家庭对财产安全问题十分担忧。这时报警系统为人们解决了不少问题。本文报警系统是由一台接收主机和若干台(最多 16 台)无线发射分机组成的多路无线报警群。发射机安装在不同的报警点上,遇有非法侵入者,立即发出报警信号,接收机收到警迅后能在 LED 显示出报警点位置。探测头选用一种能以遥感的形式感应出移动人体所发出的微量红外线的热释红外传感器, 用一片双运算放大器LM358 组成两级比例放大电路,用芯片 LM339 做比较器,再由 VD5026 构成的编码电路进行调制,最后由无线电路发射报警信号。接收电路用成品接收模块接收信号,VD5027 解码器

4、对发来的信号进行解调,智能部件 AT89C2051 单片机来检测脉冲和翻译,最后 LED 显示器显示报警的位置并通过 DC6V 的电子蜂鸣器发出警报。 关键词:报警系统;调制;解调;单片机 1 绪论 随着时代的发展,社会的进步,防盗安全已经成为了一个普遍关注的问题,更有效,更方便,更低廉的报警系统已经得到了人们的欢迎,报警系统按传输媒介分为有线和无线两类。无线报警系统和有线报警系统相比,具有隐蔽性好、安装方便等优点,尤其在传输距离较远、地形复杂的场合更显示出其优越性。 本文设计的无线报警系统利用单片机控制, 易于扩展成多用途的智能家居系统。它由一台接收主机和若干台无线发射分机组成的无线遥控报警

5、群, 发射机安装在各不同的报警点上,遇有非法入侵者,即发射出报警信号,接收机收到警讯后能在 LED 显示器上显示出报警点的位置。无线报警系统由发射电路和接收电路组成,发射电路由热释电红外传感器、放大器、比较器、电源控制电路、编码电路、成品发射模块组成,接收电路由成品接收模块、解码器、智能部件AT89C2051 、LED 数码显示器和讯响电路组成。本文无线报警系统器采用编码解码电路和单片机控制,操作简单,判断精确,使用灵活,性价比高,同时采用单片机智能部件 AT89C2051 作为主控芯片,进行实时控制,本设计涉及到多种芯片,包括了单片机,编解码器,发射模块和接收模块等,同时运用到电子线路,数字

6、电子技术,单片机应用等知识。随着集成电路和单片机等技术的发展成熟,报警器的发展也趋向多元化,完全满足了客户的需要。 1.1 国内外报警系统的研究现状和水平 报警系统种类繁多,功能强大。近期,国内外已研制出微波探测器、墙式微多路无线报警系统设计 第 2 页 共 30 页 2 波探测器、玻璃破碎探测器、超声波探测器、开关式报警器、周界报警探测器、泄露电缆式报警探测器、驻极体震动电缆报警器、电磁感应式震动电缆报警器、光纤传感器周界报警器、 地音周界报警探测器、 电场感应式探测器及电容变化式探测器等多种性能良好的探测器。 日前,在现代计算机技术、自动控制技术和现代通信技术的支持下,电子地图、多媒体操作

7、、管理与控制软件引入到防盗报警系统中。这种新的系统采用多媒体技术同时处理多种信息,并使信息之间、信息与设备之间、设备与设备之间建立逻辑联系,集成为一个交互式的系统,从而达到自动识别、自动预测、自动处理警情,使整个安防系统成为一种具有智能化的“活”的系统,让它发挥巨大、有效、可靠、灵活的系统功能。 从目前来看,报警产品主要应用于报警中心以及智能小区, 未来的主要市场还是这两部分。随着“平安城市”这样大规模的城市报警与监控系统建设,报警中心也获得了很好的发展机会, 而智能小区则随着智能家居概念的产品化已经获得人们的初步认识。无线报警产品市场将会更快增长,部分产品将会随着无线技术的发展而应用到专业市

8、场领域, 这部分市场的应用其利润要高过正常的工程领域的应用。国内市场的一个现实情况也是与国外市场最不同的地方:无线报警产品正在向消费电子方面渗透,在中国会成为新一代的消费电子产品,从而使报警产品由专业市场向大众市场过渡,反过来再影响专业市场,相信在不久的将来,这一假想就有变为现实的可能! 1.2 多路无线报警系统的研究意义 近年来,随着改革开放的深入发展,人民的生活水平有了很大提高。各种高档家电产品和贵重物品为许多家庭所拥有, 并且人们手中特别是城市居民的积蓄也十分可观。因此,越来越多的居民家庭对财产安全问题十分关心。目前,许多家庭使用了较为安全的防盗门,如果再设计和生产一种价廉、性能灵敏可靠

9、的防盗报警器用于居民家中,必将在防盗和保证财产安全方面发挥更加有效的作用。为此,提出“无线多路防盗报警器”的设计任务。 报警系统是用来探测入侵者的入侵行为。需要防范入侵的地方很多,可以是某些特定的点、线、面,甚至是整个空间。探测器由传感器和信号处理器组成。在入侵探测器中传感器是探测器的核心, 是一种物理量的转化装置, 通常把压力、震动、声响、光强等物理量转换成易于处理的电量(电压、电流、电阻等)。信号处理器的作用是把传感器转化的电量进行放大、滤波、整形处理,使它能成为一种能够在系统传输信道中顺利转送的信号。 目前报警器在越来越多的场所得到了应用,功能也越来越强大,应用也越来越广泛。 1.3 多

10、路无线报警系统设计的总体概述 多路无线报警系统设计 第 3 页 共 30 页 3 多路无线报警系统的总体设计框图如图 1.1 所示,由一台接收主机和若干台(最多 16 台)无线发射分机组成的。其主要功能如下: (1)多台无线发射机分布在不同的区域位置,根据发射机的编码器编码输出0000-1111 的 16 种编码组合分别代表多台发射机。 (2)接收主机有专人控制,处理记录报警情况和显示器复位。 (3)每台发射机的编码器输出的不同的编码组合来代表不同的发射机,当一台发射机向接收主机发射信号, 接收主机接收到发射分机发射来的信号, 通过解码器进行解码,由智能部件进行分析和翻译,传给 LED 显示器

11、,进行显示和报警 ,管理人员接到报警后记录位置,然后系统复位。 (4)如果多台发射分机同时向接收主机发射报警信号,LED 显示器会轮流闪烁报警的位置代号。方便及时记录处理,然后系统复位。 (5)热释红外传感器配有菲潢耳透镜,起到了能量“聚焦”的作用,提高了监测距离。 图 1.1 所示为多路无线报警系统的系统框图 2 多路无线报警系统结构原理与设计 多路无线报警系统由一台接收主机和 16 台无线发射分机组成多路无线报警群。发射机安装在各不同的报警点上,遇有非法入侵者,电红外传感器感应出人体所发出的微量红外线,将其转换为微弱的电信号输出给放大器,把信号放大,通过比较器、电源控制器、编码器、最后由无

12、线发射模块发射给接收主机,接收主机经过解码器解码,智能部件 AT89C2051 检测翻译,最后由 LED 显示器显示多路无线报警系统设计 第 4 页 共 30 页 4 报警位置 DC 6V 电子蜂鸣器发出报警信号。 2.1 多路无线报警系统的基本原理 多路无线报警系统的工作原理是:由热释电红外传感器作为探测器,它以遥感的形式,感应出移动人体所发出的微量红外线,将其转换为微弱的电信号输出给由一片双运放 LM358 组成两级比例放大器,对送来的信号进行放大后送入LM339 专用比较器,比较器对信号进行比较,编码电路接收到比较器发来的信号,对其进行编码,整个报警系统的所有编码器 VD5026 地址必

13、须相同,数据线的接法有 16 种组合状态,这样容易判断报警地点。其中电源控制电路对编码及无线发射电路的电源供给实施控制。 已调制的信号由成品无线发射模块发射给成品接收模块,接收后的调制信号由解码器 VD5027 进行识别和解码,AT89C2051单片机检测 VD5027 的 VT 端有没有正脉冲到来并把读入的信息翻译成适合 7 段共阳 LED 显示器的“段码“,并输出给 LED 显示器,并由蜂鸣器发出报警信号。 2.2 多路无线报警系统的组成设计 多路无线报警系统由发射电路和接收电路组成。 发射电路由以下几个基本电路组成:热释电红外传感器、放大器、比较器、电源控制电路、编码电路、无线发射; 接

14、收电路由以下几个基本电路组成:无线接收、解码器、智能部件AT89C2051、LED 数码显示器和讯响电路等。 多路无线报警系统的总体方框图 2.1: 图 2.1 多路无线报警系统的总体方框图 下面对多路无线报警系统电路进行分析; 首先是发射电路: (1) 热释电红外传感器:它是一种能以遥感的形式,感应出移动人体所发出的微量红外线,并将其转换为微弱的电信号输出的探测器件; 多路无线报警系统设计 第 5 页 共 30 页 5 (2) 放大器:使用一片双运放组成两级比例放大电路,它将接收的信号进行放大; (3) 比较器:它使用 1/4LM339,LM339 是专用比较器,一片芯片内含有4 个独立的电

15、压比较器,这里使用了其中的两个构成窗口比较器; (4) 电源控制电路:电源控制电路对编码及发射电路的电源供给实施控制; (5) 编码电路: 编码电路由VD5026 构成,整个报警系统的所有编码器地址必须相同; (6) 无线发射:无线发射采用成品发射模块。 发射电路的工作过程可简述为:当有人进入红外探测器的探测范围内时,放大器的输出就会变高或变低,使发射电路得电发射出无线报警信号。 接收电路: (1) 无线接收:无线接收使用了成品接收模块,模块解调后恢复出发射端的编码信号, 必须注意该信号极性必须与发射端编码器 VD5026 输出编信号相同; (2) 解码器:解码器使用 VD5027; (3)

16、智能部件 AT89C2051:AT89C2051 是一种廉价的高性能通用型单片微型计算能称单片机,为双列直插 20 脚封装; (4) 显示与讯响:显示采用 2 只共阳 7 段 LED 显示器,其中小数点不使用。讯响采用 DC6V 的电子蜂鸣器,其内部已包含压电蜂鸣片、振荡器和驱动器,只要加以电源电压就能发出讯响。 3 多路无线报警系统的组成 多路无线报警系统由发射电路和接收电路组成。 其中,发射电路由以下几个基本电路组成:热释电红外传感器、放大器、比较器、电源控制电路、编码电路,无线发射模块等; 接收电路由以下几个基本电路组成:无线接收模块、解码器、智能部件AT89C2051 、LED 数码显

17、示器和讯响电路等。 3.1 发射电路的组成 发射机电路图如 3.1 所示,由热释电红外传感器、放大器、比较器、电源控制电路、编码电路、无线发射模块等部分组成。 多路无线报警系统设计 第 6 页 共 30 页 6 图 3.1 多路无线报警发射电路 3.2 热释电红外传感器的介绍 热释红外传感器主要是由一种高热电系数的材料,如锆钛酸铅系陶瓷、钽酸锂、硫酸三甘钛等制成尺寸为 2*1mm 的探测元件。在每个探测器内装入一个或两个探测元件,并将两个探测元件以反极性串联,以抑制由于自身温度升高而产生的干扰。由探测元件将探测并接收到的红外辐射转变成微弱的电压信号,经装在探头内的场效应管放大后向外输出。为了提

18、高探测器的探测灵敏度以增大探测距离,一般在探测器的前方装设一个菲涅尔透镜,该透镜用透明塑料制成,将透镜的上、下两部分各分成若干等份,制成一种具有特殊光学系统的透镜,它和放大电路相配合,可将信号放大70 分贝以上,这样就可以测出 1020 米范围内人的行动。 菲涅尔透镜利用透镜的特殊光学原理,在探测器前方产生一个交替变化的“盲区”和“高灵敏区”,以提高它的探测接收灵敏度。当有人从透镜前走过时,人体发出的红外线就不断地交替从“盲区”进入“高灵敏区”,这样就使接收到的红外信号以忽强忽弱的脉冲形式输入,从而强其能量幅度。 人体辐射的红外线中心波长为 910-um,而探测元件的波长灵敏度在0.220-u

19、m 范围内几乎稳定不变。在传感器顶端开设了一个装有滤光镜片的窗口,这个滤光片可通过光的波长范围为 710-um,正好适合于人体红外辐射的探测,而对其它波长的红外线由滤光片予以吸收,这样便形成了一种专门用作探测人体辐射的红外线传感器。 多路无线报警系统设计 第 7 页 共 30 页 7 热释电效应早在 18 世纪就已经被人们在电石中观察到了,但是直到近 20年人们才开始利用这一效应制成热释电探测器并加以应用。 与其他类型的热探测器相比,热释电探测器具有许多突出的优点:其工作频率较高,可达到几百千赫以上:温度范围宽,受环境温度影响较小:从近红外(2m)到远红外(1mm)具有较均匀的吸收率,并容易制

20、作成各种形状。热释电探测器实际是一种热伏器件,工作原理基于热电效应,热电材料表面吸收红外光线时温度发生变化,因而表面电荷亦随之而变,由此得到电信号,它所探测的光谱响应主要由红外透光窗的材料(器件内部)来决定。热释电红外探测器有很广泛的用途,例如 X-Y 轴成像扫描器、线陈列热像系统、热释电设想管、辐射计、高速光谱仪、激光探测仪等,更是人体红外报警和遥控应用中不可缺少的器件。 热释红外传感器外形封装有金属壳体和塑封两种。从其内部结构来区分有:单元件、双元件、四元件以及特殊结构等形式。从使用的波长来区分有:120m,适用温度遥测;(4.350.15)m,适用于火焰检测;714m 适用于防盗报警、自

21、动门、节能灯等。 热释红外传感器应用电路如下图 3.2: 图 3.2 热释红外传感器应用电路图 3.3 放大器介绍 放大器是能把输入信号的电压或功率放大的装置,它是由电子管或晶体管、电源变压器和其他电器元件组成。放大器用在通讯、广播、雷达、电视、自动控制等各种装置中。 放大器的原理:高频功率放大器用于发射机的末级,作用是将高频已调波信号进行功率放大,以满足发送功率的要求,然后经过天线将其辐射到空间,保证在一定区域内的接收机可以接收到满意的信号电平,并且不干扰相邻信道的通信。 多路无线报警系统设计 第 8 页 共 30 页 8 高频功率放大器是通信系统中发送装置的重要组件。按其工作频带的宽窄划分

22、为窄带高频功率放大器和宽带高频功率放大器两种,窄带高频功率放大器通常以具有选频滤波作用的选频电路作为输出回路,故又称为调谐功率放大器或谐振功率放大器;宽带高频功率放大器的输出电路则是传输线变压器或其他宽带匹配电路,因此又称为非调谐功率放大器。高频功率放大器是一种能量转换器件,它将电源供给的直流能量转换成为高频交流输出。 在“低频电子线路”课程中已知, 放大器可以按照电流导通角的不同,将其分为甲、乙、丙三类工作状态。甲类放大器电流的流通角为 360o,适用于小信号低功率放大。乙类放大器电流的流通角约等于 180 度;丙类放大器电流的流通角则小于 180 度。乙类和丙类都适用于大功率工作,丙类工作

23、状态的输出功率和效率是三种工作状态中最高者。高频功率放大器大多工作于丙类工作状态。但丙类放大器的电流波形失真太大,因而不能用于低频功率放大,只能用于采用调谐回路作为负载的谐振功率放大。由于调谐回路具有滤波能力,回路电流与电压仍然极近于正弦波形,失真很小。 本文放大器使用一片双运放 LM358 组成两级比例放大电路,IC1 和 IC2 分别为 1/2LM358。IC1 构成同相端输入的比例放大电路,信号从同相端输入,放大倍数由 R3 与 R2 的比值确定。 IC2 组成反相输入的比例放大电路, 放大倍数取决于 R8 和 R3 之比值。该放大器同相端的电位由 R6、R7 及二极管 D1、D2 构成

24、的分压电路固定为 Ucc/2,所以其输出的信号的中点电位是 Ucc/2。 LM358 内部包括有两个独立的、高增益、内部频率补偿的双运算放大器,适合于电源电压范围很宽的单电源使用, 也适用于双电源工作模式, 在推荐的工作条件下,电源电流与电源电压无关。它的使用范围包括传感放大器、直流增益模块和其他所有可用单电源供电的运算放大器的场合。 LM358 的封装形式有塑封 8 引线双列直插式和贴片式。 LM358 的特性为:内部频率补偿、直流电压增益高(约 100dB)、单位增益频带宽(约 1MHz)、电源电压范围宽:单电源(330V)、双电源(1.515V)、低功耗电流,适合于电池供电、低输入偏流、

25、低输入失调电压和失调电流、共模输入电压范围宽,包括接地、差模输入电压范围宽,等于电源电压范围、输出电压摆幅大(0 至 Vcc-1.5V),LM358 运算放大器引脚如图 3.3 所示: 多路无线报警系统设计 第 9 页 共 30 页 9 图 3.3 LM358 运算放大器 LM356 引脚说明:1.第一个运放的输出;2.第一个运放的反向输入;3.第一个运放的正向输入;4.接地;5.第二个运放的正向输入;6.第二个运放的反向输入;7.第二个运放的输出;8.电源正。 3.4 比较器介绍 电压比较器可以看作是放大倍数接近“无穷大”的运算放大器。 电压比较器的功能:比较两个电压的大小(用输出电压的高或

26、低电平,表示两个输入电压的大小关系): 当”输入端电压高于”输入端时,电压比较器输出为高电平; 当”输入端电压低于”输入端时,电压比较器输出为低电平; 电压比较器的作用:它可用作模拟电路和数字电路的接口,还可以用作波形产生和变换电路等。利用简单电压比较器可将正弦波变为同频率的方波或矩形波。 图 3-1 中 IC3、IC4 是电压比较器,他们分别是 1/4LM339.LM339 是专用比较器,一片芯片内含有 4 个独立的电压比较器, 这里使用了其中的两个构成窗口比较器。 LM339 的输出为集电极开路形式。 IC3 的同相端电位为 Ucc/2+0.7V, IC4的反相端电位为 Ucc/2+0.7

27、V。当 IC2 的输出信号 Uo2Ucc/2+0.7V 时,IC3 的反相端电位高于同相端,故输出管导通,把输出端电位拉向低,而 IC4 的同相端高于反相端,其输出管截至,对其他电路无影响。当 IC2 输出 Uo2Ucc+0.7V或 Uo2Ucc-0.7V 时,Uo3 为“0”,而 Uo2 落在 Ucc/20。7V 范围内时,Uo3为“1”。这 0.7V 即为比较器的阀值,它确定了比较器的灵敏度,也与整个探测多路无线报警系统设计 第 10 页 共 30 页 10 器的灵敏度息息相关。 LM339 集成块内部装有四个独立的电压比较器,该电压比较器的特点是:(1)失调电压小,典型值为 2mV;(2

28、)电源电压范围宽,单电源为 2-36V,双电源电压为1V-18V;(3)对比较信号源的内阻限制较宽;(4)共模范围很大,为 0(Ucc-1.5V)Vo;(5)差动输入电压范围较大,大到可以等于电源电压;(6)输出端电位可灵活方便地选用。图 3.4 为 LM339 管脚排列图。 图 3.4 LM339 管脚排列图 我们可以根据一下 LM339 各脚电压图来判断 lm339 芯片的好坏。如下表 1 所示:表 1 LM339 各脚电压图 管脚 4 5 2 6 7 1 8 9 14 10 11 13 PS-ON 悬空 2.5 0.5 0 1.4 4.2 0.5 0.2 0.8 4.6 4.8 2.5

29、0 PS-ON 对地短接 2.5 1.6 0 1.4 4.2 1.6 0.2 0.8 4.6 0 2.5 4.8 3.5 电源控制电路的介绍 电源控制电路对编码及无线发射电路的电源供给实施控制,当比较器输出Uo3 为“0”时, 通过发光二极管LED 把 PNP 管 T3 的基极电位拉低,使其导通。T3 的导通又使 T2 产生较大的基极电流,使 T2 饱和,则+15V 电源便经由 T2 送给编码及发射电路使他们进入工作,发射报警信号。T3 基极所接电容 C7 起延时作用,使 T2 的饱和能维持一会儿以保证发射信号有一定的时间。注意这里使用多路无线报警系统设计 第 11 页 共 30 页 11 比

30、较器 IC3、IC4 输出级为集电极开路形式,非开路形式在此不能与后面的电路匹配。 3.6 编码 VD5026/译码 VD5027 的介绍 VD5026/VD5027 是 CMOS 大规模数字集成电路。前者是编码器,后者是译码器。他们组合应用起来构成一个发射接收数字编译码系统。 VD5026 编码器是一种 8 位编码发射器。它的第 18 脚是编码的输入端,每个输入端可以有 3 种状态,即“0”、“1”或“开路”,其中“0”表示为低电平,“1”表示为高电平, 因此 8 个脚可以组成 38=6561 个不同的编码。 如果需要更多的编码,可将输入端改为 4 态连接方式,这时第 1 脚是第 4 种状态

31、的公共连接脚,第 2 脚第 8 脚与第 1 脚连接时为第 4 种状态。所以第 2 脚第 8 脚都可以有 4 种状态,即“0”、“1”、“开路”、“接 1 脚”。在这种情况下可以组成 47=16384 个编码。第10 脚第 13 脚也可作为编码地址线, 与第 1第 8 脚联合起来组成 12 位编码地址线,这时编码数可高达 411=4194304 个。这里要介绍的是 VD5026 与 VD5027 配合应用, VD5026的第 10第13脚用作数据输入线, 根据需要这几个脚可以置“0”或置“1”。第 14 脚是发射指令端,当此脚接地时,VD5026 输出端则发出一组编码脉冲。第 15 脚、第 16

32、 脚是一个内置振荡器, 外接几十到几百千欧的电阻即可产生振荡,振荡频率为 fosc=1600/R(KHz),式中 R 为外接电阻,单位为千欧。第 17 脚是编码输出端,第 18 脚、第 9 脚分别是电源的正、负极。 VD5026/VD5027 管脚如下图 3.6 所示: 图 3.5 VD5026、VD5027 的管脚排列图 VD5027 接收解码器有相应于 VD5026 的 12 位信息。第 1 脚第 8 脚是地址线。当 VD5026 发出的地址编码与 VD5027 预置的编码相同时,则在 VD5027 的第 10 脚13 脚有数据输出,该输出信息与 VD5026 的第 10第 13 脚所置的

33、数据相同。 第 14 脚为输入端, 第 15 脚、 第 16 脚是振荡器, 外接电阻值应与VD5026完全相同。第 17 脚是输出端。编码器 VD5026 发射时,如果密码相同,VD5027多路无线报警系统设计 第 12 页 共 30 页 12 就会输出高电平。VD5026、VD5027 的管脚排列见图 3.5 所示。表 2 列出了他们的电气特性参数。 表 2 电气特性参数 特性 符号 最小值 典型值 最大值 单位 工作电压 V 2 5 6 V 静态电流 Istb - 1 10 Ua 流出电流 IoH -2.0 - - mA 流入电流 IoL 2.0 - - mA 输入电流 Iin - - 2

34、5 uA 该编译码集成电路工作电压范围较宽,可以在 26V 范围内正常工作,而且耗电极小, 静态电流仅有 1uA。 集成电路内部含有振荡电路, 不用再外加晶振。它的外围电路也很简单,也容易与无线、红外线、超声等方式结合起来,组成遥控发射、接收系统。因此这种电路应用非常广泛。 整个报警系统的所有编码器地址均必须相同,本系统全部接地。但数据线D3D0的接法各不相同,它们共有 16 种组合状态。本电路中,D3D0全部接高电平,即(D3 D2 D1 D0)=1111,该信息被无线载波运载至接收机中,接收机即能根据这一信息判断发警地点。 解码器 VD5027,其 A0A7的接法与编码器 VD5026 相

35、同,解码输出的信号 D3D0是编码器 D3D0设置状态的重现,因而能代表报警点(即地点)。另一个输出信号是“VT”端的正脉冲,它告知解码已正确,可以对 D3D0的状态进行识别了。 3.7 接收电路的组成 接收电路如图 3.6 所示,由成品接收模块、解码器 VD5027、只能部件AT89C2051 、LED 数码显示器和讯响电路等所组成。当接收到报警信号时,AT89C2051能够识别出发警点的“序号”,并将其显示于 LED 显示器,同时使蜂鸣器发出讯响。若有一个以上的报警信号,显示器会轮流闪烁地显示各个报警点“序号”。 多路无线报警系统设计 第 13 页 共 30 页 13 图 3.6 多路无线

36、报警接收电路 无线接收使用了成品接收模块,模块解调后恢复出发射端的编码信号,必须注意该信号极性必须与发射端编码器 VD5026 输出编码信号相同。 接收电路中智能部件 AT89C205 仅承担较简单的工作,其主要任务如下:首先,P3.7 检测 VD5027 的 VT 端有没有正脉冲到来,如有,表明 VD5027 已正确解码,故立即由 P3.2P3.5 读入 VD5027 的 D0D3 状态;其次,把读入的信息(2 进制码)翻译成适合于 7 端共阳 LED 显示器的“段码”,并输出;然后它必须设法把显示在右边的数码管。解决问题的关键是对 LED 数码管的共阳端(COM 端)实施控制,这由 AT8

37、9C2051 的 P3.0 和 P3.1 再通过三极管 T1 和 T2达到控制目的。由图可知,两个数码管的阴极 a、b、c、d、e、f、g 对应并联在AT89C2051 的输出端 P1.0P1.6 上。 当AT89C2051的P1.0P1.6 上送出的是 “十位”的段码时,对应地由 P3.0 送出低电平,于是 PNP 型三极管 T1 导通,+5V电源经过 T1 加给“十位”数码管的阳极,该管点亮;而 P3.1 送高电平,使 T2不能导通,因此“个位”数码管没有阳极电源而熄灭。上述两种情况交替出现,使十位和个位轮流显示。 只要轮流的速度足够快, 由于人眼的 “视觉暂留” 特性,看到的将是连贯的两

38、位数字, 这种方式称为扫描显示方式, 它与电视屏幕的显像原理基于同一基本原理。 如果 AT89C2051 从 P3.2P3.5 读到数据码有变化,则表明另有报警点发射来报警信号,AT89C2051 能把不同报警点的“序号”闪烁地轮流显示。此外AT89C2051还从 P1.7 送出讯响信号。当输出为低电平时,三极管 T3 导通,电多路无线报警系统设计 第 14 页 共 30 页 14 子蜂鸣器即发讯,直到人工使用按钮 AN 使 AT89C2051 复位为止。电容 C1、电阻 R1 构成是昂电复位电路,接通电源瞬间,由电容使 RST 端瞬间为高电位,AT89C2051 进入复位,稍后电容充电满,R

39、ST 端成为电平,复位结束进入工作,按钮 AN 用于人工复位。 显示采用 2 只共阳 7 段 LED 显示器,其中小数点不使用。讯响采用 DC6V的电子蜂鸣器,其内部已包含压电蜂鸣片、振荡器和驱动器,只要加以电源电压就能发出讯响。 3.8 7 段 LED 显示器介绍 LED(Light Emitting Diode)是发光二极管的缩写。LED 显示器是由发光二极管构成的,所以在显示器前面冠以“LED”。LED 显示器在单片机中的应用非常普遍。 3.8.1 LED 显示器的结构及分类 通常所说的 LED 显示器由 7 个发光二极管组成, 故也称为 7 段 LED 显示器,其管脚排列形状如图 3.

40、7 所示。 图 3.7 七(八)段 LED 显示器管脚图 此外,显示器中还有一个圆点型发光二极管(图中以 dp 表示),用于显示小数点,故有时也称为 8 段 LED 显示器。通过 7 段发光二极管亮暗的不同组合,可以显示多种数字、字母以及其他符号。 LED 显示器中的发光二极管有两种连接方法: (1)共阴极接法 如图 3.8 所示,把发光二极管的阴极连在一起构成公共阴极。使用时,公共阴极接地,这样,阳极端输入高电平的段发光二极管就导通点亮,而输入低电平的则不点亮。 多路无线报警系统设计 第 15 页 共 30 页 15 图 3.8 共阴极接法 (2)共阳极接法 如图 3.9 所示,把发光二极管

41、的阳极连在一起构成公共阳极。使用时, 公共阳极接+5V, 这样, 阴极端输入低电平的段发光二极管就导通点亮,而输入高电平的则不点亮。 图 3.9 共阳极接法 使用 LED 显示器时,要注意区分这两种不同的接法。为了显示数字或符号,要为 LED 显示器提供代码,因为这些代码是供显示字形的,故称之为字形代码。对上述两种接法,其字形代码是不同的。 7 段发光二极管再加上一个小数点位,共计 8 段,因此,提供给 LED 显示器的字形代码正好 1 个字节。各代码位的对应关系如下表 3: 表 3 各代码位的对应关系 代码位 D7 D6 D5 D4 D3 D2 D1 D0 多路无线报警系统设计 第 16 页

42、 共 30 页 16 显示段 dp g f D d c b a 用 LED 显示器显示十六进制数的字形代码见表 4: 表 4 十六进制数字型代码表 字型 共阳极码 共阴极码 字型 共阳极码 共阴极码 0 COH 3FH 9 90H 6FH 1 F9H 06H A 88H 77H 2 A4H 5BH B 83H 7CH 3 B0H 4FH C C6H 39H 4 99H 66H D A1H 5EH 5 92H 6DH E 86H 79H 6 82H 7DH F 84H 71H 7 F8H 07H “灭” FFH 00H 8 80H 7FH 3.8.2 LED 显示器接口方法 单片机与 LED 显

43、示器有以硬件为主和以软件为主两种接口方法。 (1)以硬件为主的接口方法 在数据线和 LED 显示器之间,有锁存器或 I/O 接口电路,此外,还需有专用的译码/驱动器。通过译码器把 1 位十六进制数(4 位二进制)译码为相应的字形代码,然后由驱动器提供足够的功率去点亮发光二极管。 这种接口方法仅需使用一条输出指令就可以进行LED 显示,但使用硬件电路较多,而硬件译码缺乏灵活性,且只能显示十六进制数。 (2)以软件为主的接口方法 这种接口方法是以软件查表的方式来代替硬件译码,不但省去了译码器,而且还能显示更多的字符。以软件为主也需要简单的硬件电路配合。图 3.10 是以软件为主的 LED 显示器接

44、口电路。 多路无线报警系统设计 第 17 页 共 30 页 17 图 3.10 以软件为主的 LED 接口电路 3.9 无线发射和接收模块 本设计采用的 TDC1808/TDC1809 是一对无线遥控发射、接收组件,它们采用内置天线,可传送数字信号或模拟信号,适用于各种无线遥控装置,具有体积小,遥控距离远,抗干扰能力强等特点。TDC18081809 遥控发射接收模块应用频率范围很广。发射模块 TDCl808 在出厂时已将发射频率调在 250-350MHz 之间,可提供 l0 种频率使用。另外 TDCl808 在使用时还具有 A、B 两种连接方法:无调制的信号或外接各种调制信号发射,例如:音频调

45、制或数码调制等。因此可外接各种调制信号来构成发射电路,TDCl808 的工作电压出厂时定为 9V,该厂也可为用户生产 l.518V 电源电压的发射模块。接收模块 TDCl809 工作电压为 51V,如需要 5V 以下的工作电压时,也可向该厂定做。 发射模块引脚图如图 3.11: 图 3.11 TDC1808 引脚图 多路无线报警系统设计 第 18 页 共 30 页 18 接收模块引脚图如图 3.12: 图 3.12 TDC1809 引脚图 4 单片机控制电路的设计 单片机是指一个集成在一块芯片上的完整计算机系统。 尽管它的大部分功能集成在一块小芯片上,但是它具有一个完整计算机所需要的大部分部件

46、:CPU、内存、 内部和外部总线系统, 目前大部分还会具有外存。 同时集成诸如通讯接口、定时器,实时时钟等外围设备。而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。 4.1 单片机介绍及应用 单片微型计算机简称单片机。它是把组成微型计算机的各功能部件:中央处理器 CPU、随机存储器 RAM、只读存储器 ROM、I/O 接口电路、定时器/计数器以及串行通讯接口等部件制作在一块集成芯片中,构成一个完整的微型计算机。由于它的结构与指令功能都是按照工业控制要求设计的,故又叫单片微控制器(Single Chip Microcontroller ) 。 目前国外已开

47、始把它称作单片微型计算机 (Single Chip Microcomputer)。 目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能 IC 卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机,更不用说自动控制领域的机器人、智能仪表、医疗器械了。因此,单片机的学习、开发与应用将造就一批计算机应用与智能化控制的科学家、工程师。 多路无线报警系统设计 第 19 页 共 30 页 19 单片机广

48、泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域,大致可分如下几个范畴: 1.在智能仪器仪表上的应用 单片机具有体积小、功耗低、控制功能强、扩展灵活、微型化和使用方便等优点,广泛应用于仪器仪表中,结合不同类型的传感器,可实现诸如电压、功率、频率、湿度、温度、流量、速度、厚度、角度、长度、硬度、元素、压力等物理量的测量。采用单片机控制使得仪器仪表数字化、智能化、微型化,且功能比起采用电子或数字电路更加强大。例如精密的测量设备(功率计,示波器,各种分析仪)。 2.在工业控制中的应用 用单片机可以构成形式多样的控制系统、数据采集系统。例如工厂流水线的智能化管理,电

49、梯智能化控制、各种报警系统,与计算机联网构成二级控制系统等。 3.在家用电器中的应用 可以这样说,现在的家用电器基本上都采用了单片机控制,从电饭褒、洗衣机、电冰箱、空调机、彩电、其他音响视频器材、再到电子秤量设备,五花八门,无所不在。 4.在计算机网络和通信领域中的应用 现代的单片机普遍具备通信接口,可以很方便地与计算机进行数据通信,为在计算机网络和通信设备间的应用提供了极好的物质条件,现在的通信设备基本上都实现了单片机智能控制,从手机,电话机、小型程控交换机、楼宇自动通信呼叫系统、列车无线通信、再到日常工作中随处可见的移动电话,集群移动通信,无线电对讲机等。 5.单片机在医用设备领域中的应用

50、 单片机在医用设备中的用途亦相当广泛,例如医用呼吸机,各种分析仪,监护仪,超声诊断设备及病床呼叫系统等等。 6.在各种大型电器中的模块化应用 某些专用单片机设计用于实现特定功能,从而在各种电路中进行模块化应用,而不要求使用人员了解其内部结构。如音乐集成单片机,看似简单的功能,微缩在纯电子芯片中(有别于磁带机的原理),就需要复杂的类似于计算机的原理。如:音乐信号以数字的形式存于存储器中(类似于ROM),由微控制器读出,转化为模拟音乐电信号(类似于声卡)。 在大型电路中,这种模块化应用极大地缩小了体积,简化了电路,降低了损坏、错误率,也方便于更换。 此外,单片机在工商,金融,科研、教育,国防航空航

51、天等领域都有着十分广泛的用途。 多路无线报警系统设计 第 20 页 共 30 页 20 4.2 AT89C2051 芯片介绍 AT89C2051 是一个低电压,高性能 CMOS 8 位单片机,片内含 2k bytes 的可反复擦写的只读 Flash 程序存储器和 128 bytes 的随机存取数据存储器 (RAM) ,器件采用 ATMEL 公司的高密度、非易失性存储技术生产,兼容标准 MCS-51 指令系统,片内置通用 8 位中央处理器和 Flash 存储单元,功能强大 AT89C2051单片机可为您提供许多高性价比的应用场合。 AT89C2051 是一个功能强大的单片机,但它只有 20 个引

52、脚,15 个双向输入/输出(I/O)端口,其中 P1 是一个完整的 8 位双向 I/O 口,两个外中断口,两个16 位可编程定时计数器,两个全双向串行通信口,一个模拟比较放大器。同时AT89C2051 的时钟频率可以为零,即具备可用软件设置的睡眠省电功能,系统的唤醒方式有 RAM、定时/计数器、串行口和外中断口,系统唤醒后即进入继续工作状态。省电模式中,片内 RAM 将被冻结,时钟停止振荡,所有功能停止工作,直至系统被硬件复位方可继续运行。 主要性能参数: 与 MCS-51 产品指令系统完全兼容 2k 字节可重擦写 Flash 闪速存储器 1000 次擦写周期 2.7-6V 的工作电压范围 全

53、静态操作:0Hz-24MHz 二级加密程序存储器 1288 字节内部 RAM 15 个可编程 I/O 口线 2 个 16 位定时/计数器 6 个中断源 可编程串行 UART 通道 可直接驱动 LED 的输出端口 内置一个模拟比较器 低功耗空闲和掉电模式 功能特性概述: AT89C51提供以下标准功能: 2k字节Flash 闪速存储器, 128 字节内部 RAM,15 个 I/O 口线,2 个 16 位定时/计数器,一个 5 向量两级中断结构,一个全双工串行通信口,内置一个精密比较器,片内振荡器及时钟电路。同时,AT89C51可降至 0Hz 的静态逻辑操作,并支持两种软件可选的节电模式。空闲方式

54、停止CPU 的工作,但允许 RAM,定时/计数器,串行通信口及中断系统继续工作。掉电方式保存 RAM 中的内容,但振荡器停止工作并禁止其它所有部件工作直到下 多路无线报警系统设计 第 21 页 共 30 页 21 一个硬件复位。 图 4.1 AT89C2051 管脚图: 图 4.1 AT89C2051 管脚图 引脚功能说明: VCC:电源电压 GND:地 P1 口:P1 口是一组 8 位双向 I/O 口,P1.2-P1.7 提供内部上拉电阻,P1.0 和 P1.1内部无上拉电阻, 主要考虑他们分别是内部精密比较器的输入端 AINO 和反相输入端 AINI,如果需要应在外部接上拉电阻,P1 口输

55、出缓冲器可吸收 20mA 电流并可直接驱动 LED,当 P1 口引脚写入“1“时可作输入端,当引脚 P1.2-P1.7 用作输入并为外部拉低时,他们因内部的上拉电阻而输出电流(I)。 P1 口还在 FLASH 闪速编程及程序校验时接受代码数据。 P3 口: P3 口的 P3.0-P3.5、P3.7 是带有内部上拉电阻的 7 个双向 I/O 口。P3.6 没有引出,他作为一个通用 I/O 口但不可访问,但可作为固定输入片内比较器的输出信号,P3 口缓冲器可吸收 20mA 电流。当 P3 口写入“1”时,他们被内部上拉电阻拉高并可作为输入端口。作输入端时,被外部拉低的 P3 口将用上拉电阻输出电流

56、(I)。 P3 口还用于实现 AT89C2051 特殊的功能,如表 5 所示: 表 5 P3 口的特殊功能 引脚 功能特性 P3.0 RXD(串行输入口) P3.1 TXD(串行输出口) P3.2 INTO(外中断 0) P3.3 INT1(外中断 1) P3.4 T0(定时/计数 0 外部输入) P3.5 T1(定时/计数 1 外部输入) 多路无线报警系统设计 第 22 页 共 30 页 22 P3 口还接受一些用于 FLASH 闪速编程及程序校验控制信号。 RST:复位输入。RST 引脚一旦变成两个机器周期以上的高电平,所有的 I/O 口都将复位到“1”(高电平)状态。当振荡器正在工作时,

57、持续两个机器周期以上的高电平便可完成复位,每个机器周期为12 个振荡时钟周期。 XTAL1:振荡器反相放大器的及内部时钟发生器的输入端。 XTAL2:振荡器反相放大器的输出端。 图 4.2 为 AT89C2051 的方框图。 图 4.2 AT89C2051 方框图 振荡器特征: XTAL1、 XTAL2 为片内振荡器的反相放大器的输入和输出端, 如图 4.3 所示。多路无线报警系统设计 第 23 页 共 30 页 23 可采用石英晶体或陶瓷振荡器组成时钟振荡器,如需从外部输入时钟驱动AT89C2051 ,时钟信号从XTAL1 输入,XTAL2 应悬空。由于输入到内部电路是经过一个 2 分频触发

58、器,所以输入的外部时钟信号无需特殊要求,但他必须符合电平的最大和最小值及时序规范。 图 4.3 内部振荡电路和外部时钟驱动电路 特殊功能寄存器: 片内特殊功能寄存器(SFR)空间存储区的映像图如图 4.4 所示: 图 4.4 特殊功能寄存器的映像图 多路无线报警系统设计 第 24 页 共 30 页 24 并非存储区所有的地址单元都被占用,未占用的地址单元亦不能使用,如果对其进行读访问一般返回为随机数,写访问也不确定。 这些单元是为了以后利用这些未使用的地址单元扩展新功能而设置, 所以用户软件不要对他们写“1“,在这种情况下,新位的复位或不激活值总为”0“。 某些指令的约束条件: AT89C20

59、51 是经济型低价位的微控制器,它含有 2K 字节的 FLASH 闪速程序存储器,指令系统与 MCS-51 完全兼容,可使用 MCS-51 指令系统对其进行编程,但是在使用某些有关指令进行编程时,程序员须注意一些事项。 和跳转或分支有关的指令有一定的空间约束,使目的地址能安全落在AT89C2051 的 2K字节的物理程序存储器空间内,程序员必须注意这一点,对于2K字节存储器的 AT89C2051 来说, LJMP 7EOH 是一天有效指令, 而 LJMP 900H是无效指令。 对于 LCALL、LJMP、ACALL、AJMP、SJMP、JMPA+DPTR 等指令,只要程序员记住这些分支指令的目

60、的地址在程序存储器大小的物理范围内(AT89C2051 程序地址空间为:000H-7FFH 单元),这些无条件分支指令就会正确执行,超出物理空间的限制会出现不可预知的程序出错。CJNE()、DJNZ()、JB、JNB、JC、JNC、JBC、JZ、JNZ 等这些条件转移指令的使用与上述原则一样,同样,超出物理空间的限制也会引起不可预知的程序出错。至于中断的使用,80C51 系列硬件结构中已保留标准中断服务子程序的地址。 AT89C2051 包含 128 字节内部数据存储器,这样,AT89C2051 的堆栈深度局限于内部 RAM 的 128 字节范围内。它既不支持外部数据存储器的访问,也不支持外部

61、程序存储器的执行,因此程序中不应有 MOVX()指令。 一般的 80C51 汇编器即使在违反上述指令约束而写入指令时仍对指令进行汇编,用户应了解正在使用的 AT89C2051 微控制器的存储器物理空间和约束范围适当地调整所使用的指令寻址范围以适应 AT89C2051。 程序存储器的加密:AT89C2051 可使用对芯片上的两个加密位进行编程(P)或不编程(U)来得到如表 6 所示的功能: 表 6 AT89C205 加密 程序加密位 LB1 LB2 保护类型 1 U U 无程序加密功能 2 P U 禁止进一步进行 Flash 闪速编程 3 P P 同方式 2,同时禁止校验 注:加密位只能用片擦除

62、操作进行擦除 多路无线报警系统设计 第 25 页 共 30 页 25 空闲模式: 在空闲模式下,CPU 保持睡眠状态而所有片内的外设仍保持激活状态,这种方式由软件产生。此时,片内RAM 和所有特殊功能寄存器的内容保持不变。空闲模式可有任何允许的中断请求或硬件复位终止。 P1.0 和 P1.1 在不使用外部上拉电阻的情况下应设置为“0“,或者在使用上拉电阻的情况下设置为”1“。 应注意的是:在使用硬件复位终止空闲模式时,AT89C2051 通常从程序停止一直到内部复位获得控制之前的两个机器周期处恢复程序执行。 在这种情况下片内部 RAM 的读写,但允许对端口的访问,要消除硬件复位终止空闲模式对端

63、口意外写入的可能, 原则上进入空闲模式指令的下一条指令不应对端口引脚或外部存储器进行访问。 掉电模式: 在掉电模式下,振荡器停止工作,进入掉电模式的指令是最后一条被执行的指令,片内 RAM 和特殊功能寄存器的内容在终止掉电模式前被冻结。退出掉电模式的唯一方法是硬件复位,复位后将从新定义全部特殊功能寄存器但不改变RAM 中的内容,在 Vcc 恢复到正常工作电平前,复位应无效,且必须保持一定时间以使振荡器重新启动并稳定工作。 P1.0 和 P1.1 在不使用外部上拉电阻的情况下应设置为“0“,或者使用外部上拉电阻时应设为”1“。 AT89C2051 内 FLASH 闪速存储器的编程电路如图 4.5

64、 所示: 图 4.5 FLASH 闪速存储器的编程电路 多路无线报警系统设计 第 26 页 共 30 页 26 FLASH 闪速存储器的编程: AT809C2051 是在擦除状态下(也即所有单元内容均为 FFH 时)用 2K 字节的片内 PEROM 代码存储器陈列进行封装微控制器, 其程序存储器是可反复编程的。 代码存储陈列一次编程一个字节, 一旦陈列别编程, 如需重新编程一非空 (空为:FFH)字节,必须对整个存储器陈列进行电擦除。 AT89C2051 内 FLASH 闪速存储器的校验电路如图 4.6 所示: 图 4.6 FLASH 闪速存储器的校验电路 5 多路无线报警系统电路总图 5.1

65、 应用软件 Protel DXP 简介 Protel DXP2004 是 Altium 公司于 2004 年推出的最新版本的电路设计软件,该软件能实现从概念设计,顶层设计直到输出生产数据以及这之间的所有分析验证和设计数据的管理。当前比较流行的 Protel 98、Protel 99 SE,就是它的前期版本。 Protel DXP 2004 已不是单纯的 PCB(印制电路板)设计工具,而是由多个模块组成的系统工具,分别是 SCH(原理图)设计、SCH(原理图)仿真、PCB(印制电路板)设计、Auto Router(自动布线器)和 FPGA 设计等,覆盖了以 PCB 为核心的整个物理设计。该软件将

66、项目管理方式、原理图和 PCB 图的双向同步技术、多通道设计、拓朴自动布线以及电路仿真等技术结合在一起,为电路设计提供了强大的支持。 Protel DXP 囊括了原理图设计、PCB 设计、电路仿真和 PLD 设计等 EDA多路无线报警系统设计 第 27 页 共 30 页 27 (Electronic Design Automation,电子设计自动化)技术,作为一个普及型的基于电路设计的 EDA 产品,它较以前版本功能有了很大提升。与 Protel 99SE 相比,其功能提高主要在以下几个方面: (1)完全集成公的可视化设计环境,增强了用户接口。3 种方式的要作面板和完全定制的工作环境,使得界

67、面更加友好,使用更加方便。 (2)新的项目管理和设计完整性分析,信号完整性参数包含过冲、下冲、阻抗和信号斜率等。 (3)新的设计输入方式,原理图和 FPGA 应用设计入口,并且支持 Xilinx和 Altera 的全系列的原形库和宏模型库,可直接从原理图中生成 EDIF 文件。 (4) 新的工程分析和验证功能, 真正适应 Spice 3f5 模型的混合电路仿真器。数字 SimCode 描述语言扩展到 Xspice,允许数字器件传输延迟、输入和输出负载和受控源建模,以及全部的电子线路分析功能。 (5)新的设计实现方式,SITUS 拓扑自动布线器执行实时布线规则,并且支持所有的无件封装技术、推挤的

68、交互式布线功能和完全的规则驱动设计。 (6)新的输出设置和生成方式和多功能的导入导出方式。 Protel 公司自从 1996 年底推出 EDA/Client 的第三代版本 Protel 3 之后, 1998年推出了 EDA Client 98,成为第 1 个包含 5 个核心模块的真正的 EDA 工具,它是将 Advanced SCH98(电路原理图设计)、PCB98(印刷电路板设计)、Route98(无网络格布线器)、PLD98(可编程逻辑器件设计)和 SIM98(电路图模拟/仿真)集成于一体的一个无缝连接的设计平台。 1998 年, Protel 公司引进 MicroCode Enginee

69、ring 公司的仿真技术和 IncaSEs Engineering Gmbh 公司的信号完整性分析技术。然后于 1999 年正式推出 Protel 99,它具有 PDM 功能的强大 EDA 综合设计环境。2000 年,Protel 公司兼并了美国著名的 EDA 公司 ACCEL(PCAD)。随后推出了 Protel99se,进一步完善了Protel 99 软件的高端功能, 步入了与传统 UNIX 上大型 EDA 软件相抗衡的局面。此后 Protel 公司又进行了一系列战略性的兼并和调整,包括与 Atmel 的合并,并于 2001 年 Protel 更名为 Altium 公司,随后推出了 Pro

70、tel DXP 软件。 基于 Windows 平台的 Protel 经过近 10 年的发展, 其 Protel DXP 版本集强大的设计能力、复杂工艺的可生产性和设计过程管理(PDM)于一体,可完整地实现电子产品从电学概念设计到生成物理生产数据的全过程, 以及中间所有分析的仿真和验证。既满足了产品的高可靠性,又极大地缩短了设计周期,降低了设计成本。 5.2 多路无线报警器总图 总图 5.1: 多路无线报警系统设计 第 28 页 共 30 页 28 图 5.1 电路总图 多路无线报警系统设计 第 29 页 共 30 页 29 结 论 在这次设计过程中,我对报警系统有了更深刻的了解,在对自己设计的

71、方案进行深刻的研究的同时,我也对以前及最新的各种报警系统进行了研究,发现这个报警系统虽然比以前的红外及超声波等系统有操作简单, 判断精确, 使用灵活,性价比高等优点,但相对于现在的报警系统还是有结构相对简单,精度不高的缺点。当然,对于一般的家庭还是有很好的防盗作用的。我想,随着更高级单片机的应用,无线技术的完善,无线防盗系统会有更广阔的发展空间的 ! 多路无线报警系统设计 第 30 页 共 30 页 30 参 考 文 献 1 李华.MCS-51 系列单片机实用接口技术.北京:北京航天航空大学出版社,1993. 2 谢嘉奎.电子线路.北京:高等教育出版社,1998. 3 阎石.数字电子技术基础.

72、北京:高等教育出版社,1997. 4 郭梯云,邬国扬等.移动通信.西安:西安电子科技大学出版社,2005. 5 陈永甫.实用无线电遥控电路.北京:人民邮电出版社,2005. 6 张延伟.Protel DXP 电子电路设计技法范例.北京:清华大学出版社,2005. 7 王为青, 邱文勋.51 单片机应用开发案例精选. 北京: 人民邮电出版社,2007 8 陈永甫.实用无线电遥控电路.北京:人民邮电出版社,2005. 9 孙江宏. Protel99 电路设计与应用.北京:机械工业出版社,2001. 10 (美)拉帕波特(Rappaport,T.S.). 无线通信原理与应用(第二版) ,北京:电子工业出版社 ,2006.

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号