Quartus-Ⅱ.入门教程764

上传人:m**** 文档编号:571474927 上传时间:2024-08-11 格式:PDF 页数:11 大小:1.19MB
返回 下载 相关 举报
Quartus-Ⅱ.入门教程764_第1页
第1页 / 共11页
Quartus-Ⅱ.入门教程764_第2页
第2页 / 共11页
Quartus-Ⅱ.入门教程764_第3页
第3页 / 共11页
Quartus-Ⅱ.入门教程764_第4页
第4页 / 共11页
Quartus-Ⅱ.入门教程764_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《Quartus-Ⅱ.入门教程764》由会员分享,可在线阅读,更多相关《Quartus-Ⅱ.入门教程764(11页珍藏版)》请在金锄头文库上搜索。

1、 1 Quartus 8.1 入门教程 一个 Verilog 程序的编译和功能仿真 Quartus 是 Altera 公司推出的专业 EDA 工具,支持原理图输入、硬件描述语言的输入等多种输入方式。 硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。接下来我们对这种智能的 EDA 工具进行初步的学习。使大家以后的数字系统设计更加容易上手。 第一步:翻开软件 快捷工具栏:提供设置setting ,编译compile等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信

2、息显示窗口,包括编译通过信息和报错信息。 快捷工具栏 信息栏 菜单栏 工作区 资源管理窗口 任务管理窗口 2 注意以下命名要一致。 第二步:新建工程filenew Project Wizard 1 工程名称: 2 添加已有文件没有已有文件的直接跳过next 所建工程的保存路径 工程名称 顶层模块名芯片级设计为实体名 ,要求与工程名称相同 如果有已经存在的文件就在该过程中添加,软件将直接将用户所添加的文件添加到工程中。 3 3 选择芯片型号我们选择 MAX3000A 系列下的 EPM3256AQC208-10 芯片 (注:如果不下载到开发板上进行测试,这一步可以不用设置) 4 选择仿真,综合工具

3、第一次实验全部利用 quartus 做,三项都选 None,然后 next 所选的芯片的系列型号 快速搜索所需的芯片 选择芯片 4 5 工程建立完成点finish 选择第三方综合工具,如果使用 Quartus 内部综合工具那么选择 none 选择第三方仿真工具,如果使用 Quartus 内部仿真工具那么选择 none 选择时序分析仪 工程建立完成, 该窗口显示所建立工程所有的芯片,其他第三方 EDA 工具选择情况,以及模块名等等信息。 5 第三步:添加文件filenew VHDL file ,新建完成之后要先保存。 第四步:编写程序 以实现一个与门和或门为例,Verilog 描述源文件如下:

4、module test(a,b,out1,out2); input a,b; Output out1,out2; assign out1=a&b; assign out2=a | b; endmodule 然后保存源文件; 第五步:检查语法点击工具栏的这个按钮start Analysis & synthesis 我们选择Verilog HDL File设计文件格式既选择Verilog 文本输入形式 6 点击确定完成语法检查 第六步:锁定引脚,点击工具栏的pin planner (注:如果不下载到开发板上进行测试,引脚可以不用分配) 双击 location 为您的输入输出配置引脚。 该窗口显示了

5、语法检查后的详细信息, 包括所使用的 io 口资源的多少等内容,相应的英文名大家可以自己查阅 语法检查成功,没有error 级别以上的错误 各个端口的输入输出顶层某块的输入输出口与物理的芯片端口想对应 7 第七步:整体编译工具栏的按钮start Complilation 第八步:功能仿真直接利用 quratus 进行功能仿真 1 将仿真类型设置为功能仿真AssignmentssettingSimulator Settings下拉Function 选择为使用端口选项卡 该窗口给出综合后代码的资源使用情况既芯片型号等等信息。 8 2 建立一个波形文件: newVector Waveform Fil

6、e Functional 表示功能仿真, 既不包括时序信息,timinng 表示时序仿真。参加线及存放器的延时信息 添加波形文件作为信号输出文件,以便观察信号的输出情况 9 然后导入引脚双击 Name 下面空白区域Node Finderlist点击: 接下来设置鼓励信号 单击选择TimingMultiplied by 1 设置 b 信号源的时候类同设置 a 信号源,最后一步改为 Multiplied by 2 双击弹出右边的对话框 点击如下列图添加信号 点击产生端口列表 设置仿真的开始及结束时间 设置输入信号周期 我们自定义的输入信号 10 然后要先生成仿真需要的网表工具栏 processin

7、gGenerate Functional Simulation Netlist 接下来开始仿真仿真前要将波形文件保存,点击工具栏开始仿真: 11 观察波形,刚好符合我们的逻辑。功能仿真通过。 第九步:下载点击Programmer ,再点击 Hardware Setup 配置下载电缆,单击弹出窗口的“Add Hardware按钮,选择并口下载 ByteBlasterMV or ByteBlasterMV,单击“Close按钮完成设置。CPLD 器件生成的下载文件后缀名为.pof,点击下列图所示方框,选中下载文件,然后直接点击 start 按钮开始下载 完! 由 a, b 两个信号经过我们设计的模块产生的结果 下载是该选项必须打勾 下载进度条 点击该按钮开始下载

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号