maxplus2教程、ppt

上传人:工**** 文档编号:570543013 上传时间:2024-08-05 格式:PPT 页数:135 大小:3.27MB
返回 下载 相关 举报
maxplus2教程、ppt_第1页
第1页 / 共135页
maxplus2教程、ppt_第2页
第2页 / 共135页
maxplus2教程、ppt_第3页
第3页 / 共135页
maxplus2教程、ppt_第4页
第4页 / 共135页
maxplus2教程、ppt_第5页
第5页 / 共135页
点击查看更多>>
资源描述

《maxplus2教程、ppt》由会员分享,可在线阅读,更多相关《maxplus2教程、ppt(135页珍藏版)》请在金锄头文库上搜索。

1、MAX+PLUS IIMAX+PLUS II学习课件学习课件( (中文版中文版) )Max+Plus IIMax+Plus II用户用户使用入门指南使用入门指南 EDA工作室E-mail:Z8/5/20241湖北众友科技EDA工作室MAX+PLUS II学习课件目录第一章 Max+PlusII的功能及系统要求第二章 Max+PlusII的安装向导第三章 Max+PlusII的设计流程第四章 图形输入方法的设计过程第五章 工具条和常用菜单选项说明第六章 图形的层次化设计及BUS使用第七章 硬件语言描述输入法第八章 混合设计输入法第九章 基于LPM的设计功能第十章 应用中常见错误及处理方法第一章

2、Max+PlusII的功能及系统要求Altera公司的MAX+PLUS II 软件是最易学、最易用的可编程逻辑器件开发软件。其界面友好,集成化程度高,包含设计综合仿真等。返回目录8/5/20243湖北众友科技EDA工作室1.1MAX+plus II 10.2 II 10.2的功能的功能n独立的运行环境独立的运行环境设计输入设计输入设计编译设计编译 验证和编程验证和编程EDIFLPM及其他及其他EDIFVerilogVHDLSDF标准 EDA设计输入:标准的 EDA设计验证方式:CadenceMentor GraphicsLogic ModellingSynopsysViewlogic其他方式C

3、adenceMentor GraphicsOrCADSynopsysViewlogic其他输入方式MAX+PLUS II 编译器图形设计输入文本设计输入(AHDL, VHDL, Verilog HDL)波形设计输入Design Entry分层设计输入版图编辑设计规则检查逻辑综合装入器件多器件划分自动错误定位定时驱动编译定时仿真功能仿真多器件仿真定时分析器件编程8/5/20244湖北众友科技EDA工作室1.1MAX+plus II 10.2 II 10.2的功能的功能n支持的器件支持的器件所支持的器件有:ACEX1K,EPF10K10, EPF10K10A, EPF10K20,EPF10K30A

4、以及MAX 7000系列(含MAX7000A, MAX7000AE, MAX7000E, MAX7000S),EPM9320, EPM9320A, EPF8452A, EPF8282A,FLEX 6000/A 系列,MAX 5000系列,ClassicTM系列。n设计输入设计输入常用的设计输入方法有: 通过图形编辑器,创建图形设计文件(.gdf); 通过文本编辑器,使用AHDL语言,创建文本编辑文件(.tdf);使用VHDL语言,创建文本设计文件(.vhd);使用Verilog HDL语言,创建文本设计文件(.v)。 通过波形编辑器,创建波形设计文件(.wdf)等。8/5/20245湖北众友科

5、技EDA工作室1.1MAX+plus II 10.2 II 10.2的功能的功能MAX+PLUS II的图形编辑器MAX+PLUS II的文本编辑器MAX+PLUS II的符号编辑器MAX+PLUS II的版图编辑器顶层文件.gdf顶层设计文件可以是下列格式: .gdf, .tdf, .vhd, .sch, 和.edf.wdf.vhd.v.sch.edf.xnf图形文件波形文件文本文件图形文件文本文件文本文件从其他 EDA工具输入OrCADSynopsys,ViewLogic,Mentor Graphics,等厂商的等厂商的EDIF文件文件XilinxMAX+PLUS II自身产生VHDL/V

6、erilog波形输入波形输入图形输入图形输入.tdf文本文件AHDL设计输入文件描述图8/5/20246湖北众友科技EDA工作室1.1MAX+plus II 10.2 II 10.2的功能的功能设计输入总结图设计文件设计文件支持文件支持文件MAX+PLUS II图形编辑器MAX+PLUS II文本编辑器MAX+PLUS II符号编辑器MAX+PLUS II波形编辑器.gdf.tdf.vhd.sch.edf.xnfMAX+PLUS II第三方第三方 EDA工具工具.sym.inc用户用户.wdf.lmf8/5/20247湖北众友科技EDA工作室1.1MAX+plus II 10.2 II 10.

7、2的功能的功能n设计编译设计编译 通过MAX+plus编译器,可检查项目是否有错,并对项目进行逻辑综合,然后配置到一个ALTERA器件中,同时产生报告文件、编辑文件和用于时间仿真的输出文件。n设计校验设计校验通过MAX+plus的定时分析器进行时序分析、功能仿真、时序仿真和波形分析,生成一些标准文件为其他EDA工具使用。n器件编程(器件编程(ProgrammingProgramming)和配置和配置(CofigurationCofiguration)n在线帮助在线帮助8/5/20248湖北众友科技EDA工作室1.1MAX+plus II 10.2 II 10.2的功能的功能编译器的输入输出文件

8、描述图MAX+PLUS II设计文件设计文件(.gdf, .tdf, .vhd)MAX+PLUS II 编译器编译器编译器网表提取模块 (包含各种网表的阅读器)功能、定时或链接 SNF提取模块EDIF、 VHDL 和Verilog Netlist生成模块数据库生成模块划分模块设计医生逻辑综合模块装入模块装配模块第三方第三方 EDA设计文件设计文件(.edf, .sch, .xnf)功能仿真功能仿真网表文件网表文件(.snf)定时仿真定时仿真网表文件网表文件(.snf)编程文件编程文件(.pof, .sof, .jed)第三方第三方 EDA仿真和定时文件仿真和定时文件(.edo, vo, vho

9、, sdo)映射文件映射文件(.lmf)指定和配置信息指定和配置信息(.acf)8/5/20249湖北众友科技EDA工作室1.1MAX+plus II 10.2 II 10.2的功能的功能n与与其它其它EDAEDA工具工具良好的接口功能良好的接口功能MAX+PLUS IIAltera的门阵的门阵列转换工具包列转换工具包Verilog HDL 和和VHDL 设计文件设计文件标准标准 EDA仿真器仿真器Verilog HDLVHDLEDIFSDF标准标准 EDAHDL文件文件标准标准 EDA原理图原理图EDIFLMFTDFACEX系列系列MAX系列系列FLEX系列系列Classic系列系列8/5/

10、202410湖北众友科技EDA工作室1. 21. 2MAX+plus II 10.2 II 10.2的系统要求的系统要求n支持的操作系统支持的操作系统 Windows 98/Me/2000 以及 Windows xp;n安装所需空间安装所需空间 1Gbytes;n内存要求内存要求 可用64MB,推荐内存64MB以上。返回目录8/5/202411湖北众友科技EDA工作室第二章 Max+PlusII的安装向导 目前MAX+PLUS II软件最高版本为10.2,关于它的安装非常简单,只要按照安装向导安装即可。一点通返回目录8/5/202412湖北众友科技EDA工作室2.1进入安装界面(1)进入光盘中

11、MaxPlusII10.2软件的目录,找到并运行 程序后出现下图安装界面,点击Full/Custom/Flexlm Server按钮。8/5/202413湖北众友科技EDA工作室2.1进入安装界面(2)进入下面窗口,点击“Next”出现另一窗口,在另一窗口中单击“Next”。8/5/202414湖北众友科技EDA工作室2.1进入安装界面(3)进入下面窗口,单击“YES”,表示接受此协议。此时出现一提示,告之你需要一个license文件来运行程序,单击此提示中的“Next”。8/5/202415湖北众友科技EDA工作室2.2设置用户信息(1)进入下面窗口,输入你的用户名和公司名,单击“Next”

12、 。随你怎么写!8/5/202416湖北众友科技EDA工作室2.2设置用户信息(2)进入下面窗口,选择完全安装即默认选项,单击“Next” 。8/5/202417湖北众友科技EDA工作室2.3设置安装路径(1)进入下面窗口,默认安装路径时,单击 “Next”。若把软件安装在D盘,单击“Browse”按钮进行路径设置。注:注:MaxPlusIIMaxPlusII软件只识别英文,软件只识别英文,建立文件(夹)建立文件(夹)及安装路径避免及安装路径避免有中文!有中文!8/5/202418湖北众友科技EDA工作室2.3设置安装路径(2)进入下面左边窗口,键入或选择你要安装到的目录,此处所选为“d:ma

13、xplus2”,然后按“OK”。因该目录不存在,故会出现提示“是否创建此目录”。选择“是(Y)”。然后返回到右边窗口,单击 “Next”。8/5/202419湖北众友科技EDA工作室2.3设置安装路径(3)进入下面窗口,由于前面设置好路径,已改为D盘(最好保持一致,无须改变),单击 “Next”。在在max2workmax2work目录安装目录安装了许多设计的源代码了许多设计的源代码/ /图,如一些图,如一些VHDLVHDL,VerilogVerilog HDL HDL的例子。的例子。8/5/202420湖北众友科技EDA工作室2.3设置安装路径(4)进入下面窗口,同上,单击 “Next”。在

14、在max2Keymax2Key目录安目录安装了装了MAX+PLUS IIMAX+PLUS II软件的软件的ACCESS ACCESS Key GuidelinesKey Guidelines系列文件。系列文件。8/5/202421湖北众友科技EDA工作室2.4进行安装(4)接着出现的窗口一直单击 “Next”,直到如下图所示开始安装:等一会儿等一会儿8/5/202422湖北众友科技EDA工作室2.5第一次运行的注册准备安装到100%后,将提示成功安装信息等,到此已成功安装完本软件。在第一次运行时要对软件进行注册才可使用,故为注册作如下工作:安装完成后把光盘中安装完成后把光盘中的的license

15、.license.dat dat 文件文件复制到安装目录的复制到安装目录的maxplus2maxplus2目录下,并目录下,并去掉只读属性。去掉只读属性。8/5/202423湖北众友科技EDA工作室2.6MaxPlusII的启动双击MAX+PLUS II10.2的图标,或从“开始”菜单“程序”中的“ALTERA”组中的“MAX+PLUS II10.2”运行MAX+PLUS II10.2。8/5/202424湖北众友科技EDA工作室2.7MaxPlusII注册在出现MAX+PLUS II10.2的界面时将出现下图窗口,Alera公司要求用户阅读完全部文档,界面下方的YES按钮才被激活。表示ALT

16、ER公司已同意你使用该软件,可以进行注册了。点击“YES”按钮进入MAX+PLUS II10.2的主界面。8/5/202425湖北众友科技EDA工作室2.7MaxPlusII注册在主界面菜单选择“Option”“License Setup”菜单(如图1-10所示),“Browse”选择D:maxplus2,并选择license.dat为授权文件,分别点击“OK”后,退出MAX+plus II,到此注册完成。8/5/202426湖北众友科技EDA工作室2.8MaxPlusII安装结束重新运行MaxplusII10.2就可以正常使用本软件。若在实际中碰到异常情况不能安装及不能正常运行的,请检查你的

17、电脑,本软件对电脑要求不高,一般硬件上的问题较少,主要是软件间冲突,或者安装目录较乱等导致,请优化一下你的电脑!返回目录8/5/202427湖北众友科技EDA工作室第三章 Max+PlusII的设计流程MaxPlusII软件包含了设计输入、综合、仿真及硬件配置下载等功能于一身,集成度高,能完成整个设计流程,功能强大!返回目录8/5/202428湖北众友科技EDA工作室3.1 Max+PlusII的设计过程图8/5/202429湖北众友科技EDA工作室3.2 Max+PlusII的设计流程图应用系统投产编译设计文件综合、适配与优化定时验证,时序仿真修改设计设计输入设计说明书器件编程/配置应用系统

18、硬件测试8/5/202430湖北众友科技EDA工作室3.3 Max+PlusII设计流程简述n设计输入提供图形、文本和波形编辑器实现图形、AHDL、VHDL、Verilog HDL或波形的输入,也可输入网表文件。n项目编译提供了一个完全集成的编译器(Compiler),它可直接完成从网表提取到最后编程文件的生成,包含时序模拟、适配的标准文件。n项目校验对设计项目的功能、时序进行仿真和时序分析,判断输入输出间的延迟。n项目编程将你的设计下载/配置到你所选择的器件中去。在此简述一下设计流程,将在后面章节实例中进行详细说明设计流程:8/5/202431湖北众友科技EDA工作室第四章图形输入方法的设计

19、过程在本章将以图形输入法为例讲述设计过程 举例:举例:用用7416174161设计一个模为设计一个模为1212的计数器的计数器 假设设计放在目录“d: mydesigngraphgraph”下设计总文件夹设计总文件夹项目文件夹项目文件夹 用户的每个独立设计都对应一个项目,每个项目可包含一个或多个设计文件,其中有一个是顶层文件,顶层文件的名字必须与项目名相同。顶层文件的名字必须与项目名相同。编译器是对项目中的顶层文件进行编译。 项目还管理所有中间文件,所有项目的中间文件的文件名相同,仅后缀名(扩展名)不同。对于每个新的项目最好建立一个单独的文件夹,使设计有条理化!切记项目名不同于项目文件夹,项目

20、文件夹包含项目名文件,它们可以取相同名字。返回目录8/5/202432湖北众友科技EDA工作室4.1建立工作文件夹条理化设计!所有设计文件夹所有设计文件夹A:一级目录,保存所有设计内容,内建设计或项目文件夹项目文件夹项目文件夹C:二级目录,可以建立许多项目文件夹项目设计文件E设计文件夹设计文件夹B:二级目录,可以建立许多设计文件夹项目文件夹项目文件夹D:三级目录,可以建立许多项目文件夹项目设计文件F建立d:mydesigngraph文件夹工作文件夹要求层次化,如左图所示,一般项目建立为ACE走向,当进行系统性复杂设计时,采用ABDF走向。 本例只有一个设计文件,故文件条理化如上图所示为ACE走

21、向, mydesign对应A,graph对应C,唯一的设计文件对应E,且该设计文件同时为顶层文件。8/5/202433湖北众友科技EDA工作室4.2项目建立(1)启动MAX+plus10.2,进入下图所示MAX+plus管理器窗口。 在“File”菜单中选择“Project”的“Name”选项。8/5/202434湖北众友科技EDA工作室4.2项目建立(2)出现下图,在 “Directories”区选中刚才为项目所建的目录;在“Project Name”区键入项目名,点击“OK”按钮即项目建立完成。选中为项目所建的目录:d:mydesigngraph在此处键入 项 目 名 : “cntm12”

22、注:注:MaxPlusIIMaxPlusII软软件对大小写不件对大小写不敏感!敏感!8/5/202435湖北众友科技EDA工作室4.3图形输入之建立设计文件(1)、在File菜单下选择“New”,出现下图对话框。选择该项可建立一个图形输入文件文件格式使用默认格式:.gdf可编辑用户生成的符号文件建立文本输入文件,如VHDL,Verilog HDL,mif文件可建立波形文件8/5/202436湖北众友科技EDA工作室4.3图形输入之打开图形编辑窗口(2)选择“Graphic Editor file”,点击“OK”后出现图形输入窗口如下图所示:图形编辑区图形编辑工具条8/5/202437湖北众友科

23、技EDA工作室4.3图形输入之打开元件调用窗口(3)在图形编辑区双击鼠标打开“Enter symbol”对话框。用户库,在项目所在的目录元件符号名输入区,可直接输入所需元件的符号名库选择区当前选中的库为用户库当前选中的库(即用户库)中的元元件件列列表表区区,因此时用户库是空的,故该区没有任何符号名8/5/202438湖北众友科技EDA工作室4.3图形输入之库文件列表(4)MAX+plus为实现不同的逻辑功能提供了大量的库文件,每个库对应一个目录。这些库根据其功能大小及特点可分为:库名库名 内内 容容 用户库 用户自建的元器件,即一些底层设计 prim(基本库) 基本的逻辑块器件,如各种门,触发

24、器等 mf(宏功能库) 所有74系列逻辑元件,如74161 mega_lpm(可调参数库) 包括参数化模块,功能复杂的高级功能模块,如可调模值的计数器,FIFO,RAM等 edif 和mf库类似8/5/202439湖北众友科技EDA工作室4.3图形输入之调用库元件(5)在库选择区双击 “d:maxplus2max2libmf”,此时在元件列表区列出了该库中所有器件,找到74161,单击之。此时74161出现在元件符号名输入区。可直接在此处输入74161完成上述操作8/5/202440湖北众友科技EDA工作室4.3图形输入之调出到编辑窗口(6)单击“OK”关闭此对话框,此时可发现在图形编辑器窗口

25、出现了74161的符号,如下图所示。8/5/202441湖北众友科技EDA工作室4.3图形输入之帮助文件的使用方法(7)对于库中调出的元件都可在帮助文件中找到相关功能说明及用法,下面从了解74161真值表的例子说明帮助文件的用法。A A、调用对应的帮助文件内容,单击下图鼠标位置。注:帮助菜单上有很多相关内容,不防在设计时碰到问题多点击看看。8/5/202442湖北众友科技EDA工作室4.3图形输入之帮助文件的使用方法B B、在弹出的右图中找到CountersCounters(74161为计数器系列)点击。8/5/202443湖北众友科技EDA工作室4.3图形输入之帮助文件的使用方法C C、在弹

26、出的右图中找到7416174161点击。8/5/202444湖北众友科技EDA工作室4.3图形输入之帮助文件的使用方法C C、在弹出的左图中很容易找到7416174161的真值表。MaxPlusII帮助功能强大,借此举一反三,学会用帮助文件8/5/202445湖北众友科技EDA工作室4.3图形输入之保存文件(8)从“File”菜单下选择“Save”,出现文件保存对话框。选择“OK”,使用默认的文件名存盘。此处默认的文件名为“cntm12.gdf”,即项目名“cntm12 ”加上图形文件的扩展名“.gdf”。8/5/202446湖北众友科技EDA工作室4.3图形输入之调入与非门与地(9)按照步骤

27、(5)调入“NAND3”和代表低电平的“GND”(位于库prim中),在输入74161,NAND3, GND三个符号后,可得下图。若采用同步置零法,使74161在“1011”状态时出现置零信号来实现模为12的计数器。故需调用一个三输入与非门,三输入与非门位于库“prim”中,名称为“NAND3”。(N代表输出反向,AND代表与门,3代表输入端的个数;所以“NAND3”为一个三输入与非门。)8/5/202447湖北众友科技EDA工作室4.3图形输入之绘图工具条说明选择工具选择工具加入文本,进行文本编辑加入文本,进行文本编辑缩缩小小到到最最小小,即窗口大小即窗口大小连接点接连接点接/ /断断打开橡

28、皮筋连接功能打开橡皮筋连接功能当当橡橡皮皮筋筋连连接接功功能能打打开开时时,移移动动元元件件,则则连连接接在在元元件件上上的的连连线线跟跟着着移移动动,不不改改变变同同其其他他元元件件的的连连接接关关系系;当当橡橡皮皮筋筋连连接接功功能能关关闭闭时时,移移动动元元件件,则则元元件件被被移移走走,不不再再维维持持和和其其他他元元件的连接关系件的连接关系。画正交线画正交线放大缩小按钮放大缩小按钮关闭橡皮筋连接功能关闭橡皮筋连接功能分分别别画画直直线线/ /对对角角线线、圆、弧圆圆、弧圆(10)在绘图过程中,可利用绘图工具条实现元件拖动,交叉线接断功能。8/5/202448湖北众友科技EDA工作室4

29、.3图形输入之设计连线(11)连线,完成好电路图如下图所示,并存盘。A.如果需要连接元件的两个端 口, 则将鼠标移到其中的一个端口上,这时鼠标指示符会自动变为“+”形;B.然后按住鼠标左键并拖动鼠标至第二个端口(或其他地方);C.松开鼠标左键后,则可画好一条连线; 连线方法:连线方法:D.若想删除一条连线,只需用鼠标左键点中该线,被点中的线会变为高亮线(为红色),此时按“Delete”键即可删除。8/5/202449湖北众友科技EDA工作室4.3图形输入之添加输入输出引脚(12)输入引脚的符号名为“input”, 输出引脚的符号名为“output”,仿照前面添加74161的方法加入三个输入引脚

30、和五个输出引脚。“input”和“output”皆位于库“prim”下。它们外形如下。输入引脚输出引脚8/5/202450湖北众友科技EDA工作室4.3图形输入之引脚命名(13)在本例中,三个输入引脚将分别被命名为en, clear ,clk,分别作为计数使能,清零,时钟输入。五个输出引脚分别被命名为q0,q1,q2,q3,cout分别作为计数器计数输出,进位输出。A.A.双击其中一个输入引脚的“PIN_NAME”, 如下图所示进入可修改状态。B.B.输入“en”,就命名了输入引脚“en”。命名方法:命名方法:以以enen为例,按同样方法命名其它为例,按同样方法命名其它 输入输入/ /输出引脚

31、输出引脚8/5/202451湖北众友科技EDA工作室4.3图形输入之完成设计电路(14)命完名后将这些引脚同对应好的元件端口连接好,可得模为12的计数器电路图如下图所示。 调用了一个调用了一个非门,方法非门,方法参考步骤参考步骤(5)(5)注意:输入输出引脚命名中不允许有相同名称命名!8/5/202452湖北众友科技EDA工作室4.4项目编译(1)完成设计文件输入后,可开始对其进行编译。在“MAX+PLUS II”菜单中选择“Compiler”,即可打开编译器如下图所示。选择“Start” 就可开始编译。编译成功后可生成时序模拟文件及器件编程文件。若有错误,编译器将停止编译,并在下面的信息框中

32、给出错误信息,双击错误信息条,一般可给出错误之处。8/5/202453湖北众友科技EDA工作室4.4项目编译(2)编译器由多个部分组成,各部分名称与功能如下: Compiler Compiler NetlistNetlist Extractor Extractor:编译器网表提取器,该过程完成后生成设计的网表文件(描述设计中各元件之间连接信息的文件),若图形连接中有错误(如两个输出直接短接),该过程将指出此错误。 Database BuilderDatabase Builder:数据库建库器。 Logic SynthesizerLogic Synthesizer:逻辑综合器对设计进行逻辑综合,

33、即选择合适的逻辑化简算法,去除冗余逻辑。确保对某种特定的器件结构尽可能有效地使用器件的逻辑资源,还可去除设计中无用的逻辑。用户可通过修改逻辑综合的一些选项,来指导逻辑综合。8/5/202454湖北众友科技EDA工作室4.4项目编译 FitterFitter:适配器。它通过一定的算法(或试探法)进行布局布线,将通过逻辑综合的设计最恰当地用一个或多个器件来实现。 Timing Timing SNF SNF ExtractorExtractor:时序模拟的模拟器网表文件生成器,它可生成用于时序模拟(项目校验)的标准时延文件。若想进行功能模拟,可从菜单“Processing”中选择“Functiona

34、l SNF Extrctor”项,此时编译器仅由三项构成:Compiler Netlist Extractor;Database Builder;Functional SNF Extrctor。 AssemblerAssembler:装配器,生成用于器件下载/配置的文件。注意:在这一步中编译器自动为你的设计选择目标器件并进行注意:在这一步中编译器自动为你的设计选择目标器件并进行管脚锁定;在下面的介绍中我们将说明如何由用户进行目标器管脚锁定;在下面的介绍中我们将说明如何由用户进行目标器件选择和管脚锁定!件选择和管脚锁定!8/5/202455湖北众友科技EDA工作室4.5项目校验之建立输入文件编译

35、器通过“Timing SNF Extractor”后就可进行时序模拟了。(1 1)建立波形输入文件(也称模拟器通道文件建立波形输入文件(也称模拟器通道文件SCFSCF):): A.从菜单“File”中选择“New”打开新建文件类型对话框,选择“Waveform Editor File(.scf)”项后选择“OK”,则出现波形编辑窗口如上图所示。8/5/202456湖北众友科技EDA工作室4.5项目校验之建立输入文件B.在波形编辑器窗口的 Name 下空白处单击鼠标右键,出现浮动菜单,如下左图所示。选择“Enter Nodes from SNF”可打开 “从SNF文件输入观测节点 ”对话框如下右

36、图所示。单击之,可打开“从SNF文件输入观测节点对话框 ” 可输入待观测信号。8/5/202457湖北众友科技EDA工作室4.5项目校验之建立输入文件C.在“从SNF文件输入观测节点 ”对话框中的“Type”区选择“Inputs” 和 “Outputs”, 默 认 情 况 下 已 选 中 。 单 击 “List”按 钮 , 可 在“Available Nodes&Groups”区看到设计中的输入/输出信号,如下图所示,这些信号为蓝色高亮,表示被选中。单击 按钮可将这些信号选择到“ Selected Nodes&Groups” 区,表示可对这些信号进行观测。击点“OK”按钮。8/5/202458

37、湖北众友科技EDA工作室4.5项目校验之建立输入文件D. 可见到波形编辑器窗口变为下图所示内容。E从菜单“File”中选择“Save ”,将此波形文件保存为默认名:“cntm12.scf”,扩展名“.scf”表示模拟通道文件。 8/5/202459湖北众友科技EDA工作室4.5项目校验之波形编辑菜单说明A编辑菜单选项说明 在建立输入波形之前,先浏览与此操作相关的菜单选项及工具条。(2 2)编辑菜单选项及工具条说明:)编辑菜单选项及工具条说明:本例中设置为20ns。(单位可为ns,us,ms,s)选中该项(左边打勾),则画线时要对齐网格显示网格,使网格可见可打开网格大小设置对话框,如下图所示此外

38、,在默认情况下,模拟时间为1us。可从菜单“File”下选择“End Time”来设置模拟时间的长短。 8/5/202460湖北众友科技EDA工作室4.5项目校验之波形编辑工具条说明B编辑工具条说明 对某段已选中的波形,赋值0,低电平赋值1,高电平赋值X,不定值赋值Z,高阻赋相反的值,如10;A-5选择某个对象来移动,复制,剪切等操作输入或编辑文字选中某段波形,并直接对其赋值放 大 /缩 小波形为时钟信号赋值为有规律/周期性的单个信号或总线赋值为某段总线赋值为状态机赋值8/5/202461湖北众友科技EDA工作室4.5项目校验之建立输入波形浏览与此操作相关的菜单选项及工具条后,开始建立输入波形

39、。(3 3)建立输入波形:)建立输入波形:A.将信号“en” 从0ns到1000ns赋值1。 选中信号“en”。即用鼠标左键单击“Name”区的“en”,可看到“en”信号全部变为黑色,表示被选中;用鼠标左键单击 即可将“en”赋1。 B.采用同样方法可将信号“clear”从0ns到1000ns赋值1,为观察其清零的作用,我们在240ns至300ns之间将其赋0(因为该信号低电平有效)。 将鼠标移到 “clear” 信号的240ns处按下鼠标左键并向右拖动鼠标至300ns处,松开鼠标左键。可看到这段区域呈黑色,被选中。用鼠标左键单击工具条中 即可。 8/5/202462湖北众友科技EDA工作室

40、4.5项目校验之建立输入波形C.为时钟信号“clk”赋周期为40ns的时钟信号。选中信号“clk”;设置信号周期。用鼠标左键单击工具条中 可打开下图所示的对话框;单击“OK”关闭此对话框即可生成所需时钟。此处若是2,则时钟周期为:40ns2=80ns若选择“网格对齐”则此值不可改。8/5/202463湖北众友科技EDA工作室4.5项目校验之建立输入波形D.选择“File”中“Save”存盘。 到此完成波形输入,如下图所示:8/5/202464湖北众友科技EDA工作室4.5项目校验之时序模拟(4 4)运行模拟器,进行时序模拟运行模拟器,进行时序模拟:A.从菜单“MAX+plus”选择“Simul

41、ator”,即可打开模拟器如下图所示。 可进行两次结果对比改变模拟的起止时间B.单击按钮“Start”即可开始模拟,模拟完毕后,单击按钮“Open Scf”可打开刚才编辑的波形文件,就可开始对模拟结果进行检查。 8/5/202465湖北众友科技EDA工作室4.5项目校验之时序模拟C.模拟完成后波形模拟文件如下图所示: 注意:注意:针对FPGA器件进行仿真时存在毛刺与时序延迟毛刺与时序延迟(FPGA芯片内部构造决定),所以不同的目标器件仿真图形可能有出入,如上图cout输出有一个毛刺存在,除此之外对应输入的仿真结果是正确的!8/5/202466湖北众友科技EDA工作室4.5项目校验之模拟结果观察

42、(5 5)为观测方便,可将计数输出为观测方便,可将计数输出q3,q2,q1,q0q3,q2,q1,q0作为一个组来进行作为一个组来进行 有效观测,步骤如下有效观测,步骤如下:A. 将鼠标移到“Name”区的q3上,按下鼠标左键并往下拖动鼠标至q0处。松开鼠标左键,可选中信号q3,q2,q1,q0;B. 在选中区(黑色)上单击鼠标右键,打开一个浮动菜单,选择“Enter Group”项,出现图上图对话框;选择十六进制后,点击“OK”按钮。十进制十六进制进制选择: 二进制 八进制组名输入区;可任意写是否以格雷码显示8/5/202467湖北众友科技EDA工作室4.5项目校验之模拟结果观察C. 可得下

43、图1-39所示波形图文件。这种用组表示的方法其实就是BUS的使用,这将在6.56.5节详细介绍。双击此处也可改变数据显示的格式:二、八、十、十六进制,格雷码显示。现在观测就容易多了!返回目录8/5/202468湖北众友科技EDA工作室4.6目标器件选择之确定目标器件(1)模拟通过后就可将设计结果编程/下载到目标器件中。但因为前面编译时,是由编译器自动为你的设计选择目标器件并进行管脚锁定的,所以为使设计符合用户要求,将由用户进行目标器件选择和管脚锁定。 EDA-E实验箱上使用的目标器件为ACEX1K系列中EP1K30QC208-2全称意义:全称意义:EP1K30QC208-2Altera公司AC

44、EX1K系列中器件3万典型门:30*1K(1000)贴片封装芯片管脚数速度等级,单位为ns8/5/202469湖北众友科技EDA工作室4.6目标器件选择之选择方法(2)器件选择方法:A.菜单“Assign”下选择“Device”项可打开如下图所示的器件选择对话框;B.单击“Device Family”区的下拉按钮,可进行器件系列选择,选择ACEX1K;C.去掉速度等级限制的选择项;D.在器件型号列表区找出目标器件并双击选中。E.若 没 有 选 配 的 配 置 器 件(EPC2LC20)则点击“OK”按钮完成了目标器件选择功能,否则点击“Device Options”按钮,进行下面的配置设置。8

45、/5/202470湖北众友科技EDA工作室4.6目标器件选择之选择方法F.出现右图所示图形,按右图所示选择 配 置 器 件EPC2LC20 ,则点击“OK”按钮完成了配置器件选择。返回到器件选择对话框,击点“OK”按钮,这样就完成了所有目标器件设置。8/5/202471湖北众友科技EDA工作室4.6目标器件选择之类型说明FPGAFPGA采用采用SRAMSRAM进行功能配置进行功能配置,可重复编程,但系统掉电后,SRAM中的数据丢失。因此,需在需在FPGAFPGA外加外加EPROMEPROM,将配置数据写入其中,系统每次上电自动将数据引入SRAM中。CPLDCPLD器件一般采用器件一般采用EEP

46、ROMEEPROM存储技术,可重复编程存储技术,可重复编程,并且系统掉电后,EEPROM中的数据不会丢失,适于数据的保密。(3)PLD类型说明:FPGA CPLD8/5/202472湖北众友科技EDA工作室4.7目标器件管脚锁定之锁定前提管脚锁定管脚锁定是指将输入/输出信号安排在器件的指定管脚(I/O口)上。在EDA-E实验箱上,目标芯片的引脚定义可采用全开放式和全固定式(免连线方式)。全开放式:全开放式:可以不用管脚锁定,编译后将自动分配管脚,根据自动分配在管脚的信号来连接电路做实验。也可以人工分配管脚,按照一定的规律来分配管脚,方便连线及实验有条理化。全固定式:全固定式:每个引脚都有固定定

47、义的信号名,必须按照定义好的信号(I/O分布表)分配管脚。跟外围硬件关系紧密,必须人工管脚锁定。前提:前提:人工管脚锁定之前必须选择好目标芯片,并对项目编译且必须选择好目标芯片,并对项目编译且通过通过,产生目标芯片管脚锁定环境才可以进行人工管脚锁定,否则人工管脚分配不知目标芯片。 (1 1)锁定前提详细说明;锁定前提详细说明;8/5/202473湖北众友科技EDA工作室4.7目标器件管脚锁定之锁定方法 (2 2)锁定方法;锁定方法;注意,你所打开的窗口可能与此不同,可 通 过 在 菜 单“Layout” 中选中“DeviceView”, 使视图区显示器件视图(显示管脚锁定情况,切记要先编译项目

48、通过后才能出现);单击 工 具 条 中 的 可显示当前的管脚分配/逻辑分配情况。这样,你可得到如右图一样的窗口。A.从 “Max+plusII” 菜 单 下 选 择 “Floorplan Editor”。管脚编辑器窗口将被打开,如下图所示。8/5/202474湖北众友科技EDA工作室4.7目标器件管脚锁定之锁定方法视视图图区区:此此区区域域显显示示器器件件视视图图( (显显示示管管脚脚锁锁定定情情况况) )或或 逻逻辑辑阵阵列列块块视视图图(显显示示逻逻辑辑资资源源使使用用或或分分配配情况)情况)节节点点显显示示区区:显显示示没没有有被被锁锁定定管管脚脚的的输输入入输输出出信信号号及及内内部部

49、节节点点分分别别为为控控制制(放放大大、缩缩小小)显显示示区区显显示示视视图图区区;最最后后编编译译器器编编译译的结果;或显示当前分配情况的结果;或显示当前分配情况 B.管脚编辑窗口说明。 8/5/202475湖北众友科技EDA工作室4.7目标器件管脚锁定之锁定方法1选择clk信号锁定在EP1K30QC208-2的79号脚上(79号脚为EDA-E实验箱时钟源上的可调时钟信号CCLK1,以后设计项目时参考实验指导书中的I/O分布表,此表已定义好各脚的信号意义与对应器件。本例直接给出所有输入输出信号对应的管脚号与器件。),开始锁定clk 。C.方法1以锁定clk信号方法为例说明先将鼠标移到节点显示

50、区的“clk”,按下鼠标左键,可看到鼠标显示符下有一个灰色的矩形框。此时,继续按着鼠标左键。拖动鼠标至视图区中79号管脚的空白矩形处。松开左键即可完成信号clk的人工管脚锁定。8/5/202476湖北众友科技EDA工作室4.7目标器件管脚锁定之锁定方法1按锁定clk信号方法分别将其它信号按下表锁定管脚,完成锁定。 信号名信号名 管脚号管脚号 对应器件名称对应器件名称 clk 79时钟信号GCLK1 clear 45拔位开关K1en 46拔位开关K2q0 19输出发光二极管LED1 q1 24 输出发光二极管LED2 q2 25输出发光二极管LED3 q3 26输出发光二极管LED4 cout

51、27输出发光二极管LED5 8/5/202477湖北众友科技EDA工作室4.7目标器件管脚锁定之锁定方法2D对于管脚锁定可以采用方法2 从“Assign”菜单中选择“Pin/location/chip”,打开下图对话框。以锁定clk信号方法为例说明。a填 上 信 号名,如“clk”b填 上 管 脚号,如“79”c选 择 “input”类型d此 时 , 按 钮“Add”变亮,单击之,可将信号“clk”锁定在79号管脚上8/5/202478湖北众友科技EDA工作室4.7目标器件管脚锁定之锁定方法2重复上述步骤,可将所有信号锁定好。如果想删除或改变一个锁定,可在“Existing Pin/locat

52、ion/Chip Assignments”区选中需要删除或改变锁定的信号,利用“Delete”和“Change”按钮可对该信号的锁定进行删除或更改。 8/5/202479湖北众友科技EDA工作室4.7目标器件管脚锁定之编译 (3 3)锁定后重新编译;锁定后重新编译;完成管脚锁定后,重新编译重新编译使之生效,此时回到原来的设计文件“cntm12.gdf”上的输入输出信号旁都标有其对应的管脚号。如下图所示: 注意:重新编译好后,可以再重新进行项目校验(时序仿真),若正确,注意:重新编译好后,可以再重新进行项目校验(时序仿真),若正确,可进行下一步:器件编程可进行下一步:器件编程/ /配置。配置。设

53、计文件名设计文件名管脚锁定号管脚锁定号8/5/202480湖北众友科技EDA工作室4.8器件编程/配置之编程配置区分(1 1)了解编程了解编程/ /配置及相应下载文件类型配置及相应下载文件类型: 可编程器件PLDPLD可分为两大类:一类是CPLDCPLD,编程信息以EEPROMEEPROM方式保存,故对这类器件的下载称为编程编程.在通过项目编译后,可生成文件*.*.pofpof用于下载。另一类是FPGAFPGA,其逻辑块LE及内部互连信息都是通过芯片内部的存储器单元阵列完成的,这些存储器单元阵列可由配置程序装入,存储器单元阵列采用SRAMSRAM方式,对这类器件的下载称为配置配置。在通过项目编

54、译后可生成文件*.*.sofsof用于下载.掉电保护要求外挂EPROMEPROM,在通过项目编译后可生成文件*.*.pofpof用于对EPROM编程编程,掉电后上电时EPROM对FPGA进行配置配置,实现掉电保护功能。本实验箱所用的EP1K30QC208-2为FPGAFPGA,选配器件EPC2LC20即为外加EEPROM,我们以此为例,说明所有可编程器件的编程/配置方法。8/5/202481湖北众友科技EDA工作室A.将25针下载电缆线一端插入LPT1(打印机口即并行口),另一端连接到实验箱主板系统的通用编程模块DB25接口,再用十针连接线一端插入该模块JTAG下载接口固定不变,另一端连接到主

55、板系统的配置模块中目标芯片的下载接口,打开系统主板电源。4.8器件编程/配置之设置方法(2 2)以对)以对EP1K30QC208-2EP1K30QC208-2进行配置进行配置为例说明编程为例说明编程/ /配置方法配置方法: 8/5/202482湖北众友科技EDA工作室4.8器件编程/配置之设置方法B.从“Max+plusII”菜单下选择“Programmer”,可打开下图所示的对话框。由于第一次运行,上述对话框所有按钮皆为灰色,并自动弹出“Hardware setup”对话框让我们设置下载方式,若不是第一次运行,在打开“Programme”对话框的界面下也可从“Options”菜单下选择“Ha

56、rdware setup” 对话框进行设置。8/5/202483湖北众友科技EDA工作室4.8器件编程/配置之设置方法C. 在“Hardware setup”对话框中设置下载类型。若是NT系统如Windows2000,则出现右图提示,由于MusPlusII软件最初不支持NT的操作系统,后来开发了外挂的驱动程序,通过安装驱动程序后同上面方法设置即可同上面方法设置即可。从从此此下下拉拉框框中中选选择择“ByteBlasterMVByteBlasterMV”,设设置置好好了了JTAGJTAG并并口下载方式。口下载方式。左边设置中若不是NT内核的系统,点击“OK”按钮则设置成功,单击“Programm

57、er”对话框的按钮“Configure”即可完成配置。8/5/202484湖北众友科技EDA工作室4.8器件编程/配置之安装驱动(3 3)在在Win2000Win2000操作平台上安装编程操作平台上安装编程/ /配置驱动程序说明配置驱动程序说明:A.在控制面板中选择”添加/删除硬件”,在出现的对话框中点击“下一步”安钮。注:若不是NT系统,则可以跳过此节内容!8/5/202485湖北众友科技EDA工作室4.8器件编程/配置之安装驱动B.选择添加/排除设备故障,如下图所示,点击“下一步”按钮。8/5/202486湖北众友科技EDA工作室4.8器件编程/配置之安装驱动C.将检测新硬件,出现下面窗口

58、,选择添加新设备,点击“下一步”按钮。8/5/202487湖北众友科技EDA工作室4.8器件编程/配置之安装驱动D. 在下面窗口选择“否,我想从列表中选择硬件”,点击“下一步”按钮。8/5/202488湖北众友科技EDA工作室4.8器件编程/配置之安装驱动E.出现下面窗口,选择选择“声音、视频和游戏控制器”,击点“下一步”按钮。 8/5/202489湖北众友科技EDA工作室4.8器件编程/配置之安装驱动F.击点“从磁盘安装” 按钮,找到安装软件目录MaxPlus2-Drivers-Win2000下选择inf文件,并按“确定”按钮。将弹出“没有数字签名“窗口,点击“是”按钮,继续安装。MaxPl

59、usII软件安装在D盘8/5/202490湖北众友科技EDA工作室4.8器件编程/配置之安装驱动G. 选择“Altera ByteBlaster”,击点“下一步”按钮,开始安装驱动程序,安装完毕后需要重新启动电脑才能使新设备生效。到此安装完了在Win2000操作平台编程/配置的驱动程序。 8/5/202491湖北众友科技EDA工作室4.8器件编程/配置之安装驱动H. 重启后,进入 “Hardware setup”对话框进行设置,设置好后如下图所示,下面就可以完成编程/配置功能了。ByteBlasterByteBlaster(MV)(MV)JTAG串行下载类型并行口LPT1支持低电压下载方式8/

60、5/202492湖北众友科技EDA工作室4.8器件编程/配置之选择下载文件(4 4)完成设置后就可以对EP1K30QC208-2配置了,先选择下载文件!下下载载文文件件A.A.选择下载文选择下载文件的方法:件的方法:方法一:方法一:从File菜单弹出对话框进行选择。方法二:方法二:可双击指示处,在弹出的对话框中选择。8/5/202493湖北众友科技EDA工作室4.8器件编程/配置之选择下载文件B.B.对选择下载文件对话框说明对选择下载文件对话框说明总结:总结:*.sof文件针对FPGA进行配置;配置后若掉电则下载的程序也丢失,需要重新进行配置。 *.Pof文件针对CPLD或EPROM进行编程。

61、掉电后程序仍存储在ROM内,上电后重新加载,无需重新编程。本例中:cntm12.sof文件针对FPGA(EP1K30QC208-2)进行配置;cntm12.pof文件针对EEPROM(EPC2LC20)进行编程。我们先选择cntm12.sof文件进行配置,后再选择cntm12.pof文件进行编程。8/5/202494湖北众友科技EDA工作室4.8器件编程/配置之配置(5 5)如下图所示,选择好配置文件后点击“Configuar”进行配置,提示成功后表示配置完成。注意:十针连接线一端连接到目标器件目标器件的下载接口处,配置时不要打开主板系统中时钟源模块的电源开关,否则在进行配置时下载不成功!So

62、f下载文件目标器件目标器件8/5/202495湖北众友科技EDA工作室4.8器件编程/配置之编程(6 6)选择好编程文件后点击“Program”进行编程,提示成功后表示配置完成。到此表示器件编程/配置功能完成。目标器件目标器件Pof下载文件注意:十针连接线一端连接到目标器件目标器件的下载接口处,配置时不要打开主板系统中时钟源模块的电源开关。否则在进行配置时下载不成功!8/5/202496湖北众友科技EDA工作室4.9EDA实验平台的硬件实现(1 1)到目前为止,我们已经在到目前为止,我们已经在MaxPlusIIMaxPlusII软件上完成了一个完整设计,也软件上完成了一个完整设计,也通过了仿真

63、,最后一步是在通过了仿真,最后一步是在EDA-EEDA-E实验箱上进行检验,使我们的设计正确实验箱上进行检验,使我们的设计正确无误。无误。(2 2)在实验箱上进行实验之前,先学习实验指导书中的实验箱简介部分,在实验箱上进行实验之前,先学习实验指导书中的实验箱简介部分,会查会查I/OI/O分布表,知道目标芯片的管脚分配情况,知道模式选择模块分布表,知道目标芯片的管脚分配情况,知道模式选择模块CTRLCTRL拔码开关的功能。这些都可参考拔码开关的功能。这些都可参考EDA-EEDA-E实验指导书的第一部分实验箱简介实验指导书的第一部分实验箱简介内容。内容。8/5/202497湖北众友科技EDA工作室

64、4.9EDA实验平台的硬件实现(4 4)针对模)针对模1212的计数器实例在硬件平台上(的计数器实例在硬件平台上(EDA-EEDA-E实验箱)进行检验及硬实验箱)进行检验及硬件实现。步骤如下:件实现。步骤如下:1、实验箱上设置:在模式选择模块CTRL拔码开关处设置(2)(4)(8)档为ON,即使 发光二极管LED1-LED5有效,拔码开关K1、K2有效。在时钟源模块处打开电 源开关使时钟GCLK有效。2、设置输入:设置输入信号CLK为1Hz即在时钟源模块处跳线使GCLK为1Hz,表 示计数器1S计数一次;设置清零信号clear为高电平即 K1为高电平,表示不在 清零状态;设置计数有效信号en为

65、高电平即 K2为高电平,表示计数有效。3、观察结果:计数器计数输出q0-q3、进位Cout对应LED1-LED5五个发光二极管, 若发亮表示高电平。当清零信号清零一次即K1为低电平一次后,开始观察 q3-q0,若每当计数器从0000计数到1011时,进位信号Cout变为高电平,即 LED5发亮,再过1S后,计数器又为0000,重新开始计数,而LED5灭状态,重复 模12的计数状态。4、得出结论:上面结果跟项目设计要求一致,设计内容得到了检验, 说明成功设计了模为12的计数器。8/5/202498湖北众友科技EDA工作室4.10设计总结(1 1)本章将以图形输入法为例讲述了整个设计过程。(2 2

66、)到目前为止,我们已完成一个完整设计,熟悉了整个设计流程。作为课后练习及提高,可使用74160或74161设计一个模为9的计数器,锁定管脚到数码管SM8上显示(参考EDA-E实验指导书的实验箱简介和I/O口分布表内容)。然后,用两片74160设计一个模为60的8421BCD码计数器。芯片功能参考MuxPlusII的帮助文件。返回目录8/5/202499湖北众友科技EDA工作室Max+plusII软件为不同的操作阶段提供了不同的工具条,它指明用户当前可以完成的 操 作 , 这 方 便 了 软 件 的 使 用 。Max+plusII的工具条中关于文件操作,编辑等的工具条与Windows下的标准一样

67、。下面简单介绍这些工具条的功能。其中大部分工具条的功能前面我们已经从菜单角度提到过。现只介绍下面两个工具条。第五章 工具条和常用菜单选项说明 返回目录8/5/2024100湖北众友科技EDA工作室5.1File与 帮助选项说明 (1 1)下面工具条等同与菜单FileNew,(即菜单“File”下的“New”项)可打开新建设计输入文件类型对话框;其他分别为打开一个文件;存盘;打印;剪切;复制;取消上次操作。 帮助选择功能。鼠标单击后,会变为此形状,处于帮助选择状态。此时,用鼠标左键单击某一对象,可获得此对象的帮助主题。例如,单击74161的符号,可获得关于74161的帮助:74161的功能表。

68、8/5/2024101湖北众友科技EDA工作室5.2 层次管理选项说明 (2 2) 打开层次管理窗口,可看到当前项目的层次关系,我们可以看模为12的计数器例子的层次关系,如下图所示。 8/5/2024102湖北众友科技EDA工作室5.3 项目和编辑选项说明(3 3) 打开管脚编辑器窗口。同菜单命令Max+plusIIFloorplan Editor即“Max+plus”菜单下“Floorplan Editor”。 分别打开编译器和模拟器,同菜单命令:Max+plusII/Compiler和Max+plusII /Simulator。 打开编程/下载窗口,同“Max+plusII”菜单下“Pro

69、grammer”。 分别为(1)指定项目名,即打开一个项目,同File/Project/Name;(2)(2)将当前文件指定为项目,同将当前文件指定为项目,同File/Project/Set project to Current FileFile/Project/Set project to Current File; ;(3)打开项目的顶层文件,同File/Hierarchy Project Top;说明:编译器是对项目进行编译,因此,若先建设计文件,必须要将此文说明:编译器是对项目进行编译,因此,若先建设计文件,必须要将此文件指定为项目,才能对其进行编译。因为,需要项目进行设计层次,编译件

70、指定为项目,才能对其进行编译。因为,需要项目进行设计层次,编译信息等的管理。信息等的管理。 所以特别在层次化设计时常用所以特别在层次化设计时常用(2)(2)来指定为项目进行编译。来指定为项目进行编译。8/5/2024103湖北众友科技EDA工作室5.4 时序分析器选项说明1 打开时序分析器,可进行时序分析,同菜单命令:Max+plusII/Timing Analyzer。时序分析器可进行如下3个方面的分析:Delay Matrix: 输入/输出间的延迟;Setup/Hold Matrix:触发器的建立/保持时间;Registered performance:寄存器的性能分析,可获得最坏的信号

71、路径,系统工作频率等信息。 8/5/2024104湖北众友科技EDA工作室5.4 时序分析器选项说明2在单击 后,可打开如下图所示的时序分析器。此时,可在菜单Analysis下切换上述三个方面的分析,也可通过工具条切换。这三个菜单选项与工具条中三个按钮功能对应:选择时序分析3个方面之一进行分析,一般选择第一项延迟分析和第三项最大工作频率分析,下面是模12的计数器在这两方面的分 析 , 芯 片 为EP1K30QC208EP1K30QC208-2-2。8/5/2024105湖北众友科技EDA工作室5.4 时序分析器选项说明3在时序分析器上单击“Start”按钮即进行Delay Matrix分析,结

72、果如下图所示。8/5/2024106湖北众友科技EDA工作室5.4 时序分析器选项说明4选择“Registered performance”可进行寄存器的性能分析。单击“Start”开始分析,可得结果如下图所示。所有关于寄存器对时钟的延时延时最长路径对应最大工作频率8/5/2024107湖北众友科技EDA工作室5.5 保存、搜索和字体选项说明 分别为:保存所有打开的文件,并对当前项目进行语法检查File/Project/Save & check;.保存所有打开的文件,并对当前项目进行编译,同File/Project/Save & compile;保存打开的模拟器输入文件,并对当前项目进行模拟,

73、同File/Project/Save & simulate。用于改变字体及其大小。 对应菜单“Utilities”下的子菜单项,可进行字符搜索;替换;当前文件/当前项目中搜索节点(node),符号(Symbol)等。返回目录8/5/2024108湖北众友科技EDA工作室第六章 图形的层次化设计及BUS使用数字系统设计的一般方法是采用自顶向下的层次化设计。在Max+plusII,可利用层次化设计方法来实现自顶向下的设计。一般在电路的具体实现时先组建低层设计,然后进行顶层设计。下面以图形输入为例,学习层次设计的过程,图形输入设计方法参考第四章内容。 题目:题目:以前面设计的模为60,12的计数器建

74、立一个时, 分,秒的时钟(小时项不是BCD码)。返回目录8/5/2024109湖北众友科技EDA工作室6.1模为12计数器的设计层次化设计步骤:(1)(1)、先完成模为12计数器的设计,如下图所示;(2)(2)、执行菜单“File”下“Create Default Symbol”,可生成符号“cntm12”,即将我们自己设计的模为12的计数器编译成库中的一个元件; 8/5/2024110湖北众友科技EDA工作室6.2模为60计数器的设计(3)(3)、建立另一个图形设计文件“cntm60.gdf”,实现模为60的计数器,原理图如右图所示,设计方法参考第四章内容。可先将此文件设为项目,对其进行编译

75、,仿真来确保设计正确;在在图图中中为为连连线线命命了了名名,相相同同名名字字的的导导线线代代表表它它们们在在电电气气上上是是相相连连的的。如“rcorco”。为了给导线命名,可先用鼠标左键单击要命名的连线,连线会变为红色,并有闪烁的黑点,此时键入文字即可为连线命名。(4)(4)、完成模为60 的计数器设计后,采用步骤(2)的方法,生成符号“cntm60”; 8/5/2024111湖北众友科技EDA工作室6.3顶层文件的设计(5)(5)、建立顶层设计文件“clock.gdf”。底层设计构成的元件a.a.建立一个新的图形文件,保存为“clock.gdf”;b.b.将其指定为项目文件(菜单“File

76、”下“Project/Set project to Current File”项);c.c.在“clock.gdf”的空白处(图形编辑区)双击鼠标左键可打开“Enter symbol”对话框来选择需要输入的元件,此时在元件列表区可看到我们刚才生成的两个元件cntm12和cntm60,如左图所示。8/5/2024112湖北众友科技EDA工作室6.3顶层文件的设计d.调入cntm12一次,cntm60两次,经适当连接构成顶层设计文件,如下图所示。在图中双击元件cntm60,可打开底层设计文件“cntm60.gdf”,cntm12.gdf同样可以打开。(6)(6)、对顶层设计文件“clock.gdf

77、”构成的项目“clock”进行编译,仿真,最后配置完成此设计。可参考第四章设计方法。在上图中,分,秒的输出信号共有14个,为方便,此处使用了“BUS”总线,如用s6.0代替7个输出。将在6.56.5节中介绍“BUS使用”方法。 8/5/2024113湖北众友科技EDA工作室6.4观察层次化结构现在,我们完成了整个设计,此时,可通过工具条中 或菜单“Max+plusII”下“Hierarchy Display”的选项打开一个窗口如下图所示。在其中可看到最顶层“clock.gdf”调用了一个cntm12和两个cntm60,而cntm12和cntm60又各自调用了一个74161,两个74160。双击

78、任何一个小图标,可打开相应文件。其中“rpt”文件,即“clock.rpt”文件,从此文件可获得关于设计的管脚的锁定信息、逻辑单元内连情况、资源消耗及设计方程等其他信息。 8/5/2024114湖北众友科技EDA工作室6.4观察层次化结构现在,我们完成了整个设计,此时,可通过工具条中 或菜单“Max+plusII”下“Hierarchy Display”的选项打开一个窗口如下图所示。在其中可看到最顶层“clock.gdf”调用了一个cntm12和两个cntm60,而cntm12和cntm60又各自调用了一个74161,两个74160。双击任何一个小图标,可打开相应文件。其中“rpt”文件,即“

79、clock.rpt”文件,从此文件可获得关于设计的管脚的锁定信息、逻辑单元内连情况、资源消耗及设计方程等其他信息。 8/5/2024115湖北众友科技EDA工作室6.5BUS使用 此处BUS是个泛指,它有多个信号线组成。在此主要说明采用BUS可使设计清楚易读,并且可减轻设计中重复连线的负担,此外,利用BUS可方便地在波形窗口中观测仿真结果(在时序仿真时介绍过)。(1)(1)现在回到底层文件“cntm60.gdf”,将输出符号按如下图方法替换:8/5/2024116湖北众友科技EDA工作室6.5BUS使用(2)(2)然后重新将“cntm60”生成符号,替换掉原来的符号。回到顶层设计文件“cloc

80、k.gdf”中,执行菜单命令“symbol/update symbol”,出现下图对话框:选择第二项,更新所有符号。8/5/2024117湖北众友科技EDA工作室6.5BUS使用(3)(3)更新后的“clock.gdf”文件如下图所示: 8/5/2024118湖北众友科技EDA工作室6.5BUS使用(4)(4)整理连线并重命名,如下图所示:上图中粗线所示即为BUS,名称为s3.0代表由s3, s2, s1, s0共四根线组成。其实上图还没有完全采用BUS,如cntm12元件的输出可以采用BUS,有兴趣的同学不防一试。8/5/2024119湖北众友科技EDA工作室6.5BUS使用(5)(5) B

81、US的画、用法与命名:画BUS,一种是从含有BUS的器件直接引出;另一种方法是在单线上单击鼠标右键,在Line Style中选择粗线,即可生成BUS,然后可用鼠标左键单击此线,此时线变为红色,输入文字即可为此BUS命名。可以直接使用BUS中任一个信号,如下图s3.0中s2的用法。可对BUS命名时,使用多个单信号名组合而成。如下图中,aa对应cntm60输出QH中最高位;bb对应中间一位;bf对应最低位。 返回目录8/5/2024120湖北众友科技EDA工作室第七章硬件语言描述输入法采用HDL设计,可提高开发速度,设计易读。MAX+PLUS II支持AHDL语言,VHDL,Verilog HDL

82、 等语言输入。其其设设计计过过程程与与图图形形方方法法基基本本相相同同,仅仅在在开开始始时时建建立立文文本本文文件件。用AHDL语言设计的项目保存为*.tdf文件名,用VHDL语言设计的项目保存为*.vhd文件名,用Verilog HDL语言设计的项目保存为*.v文件名,其设计流程相同,我们以学习VHDLVHDL为为主主,这将在VHDL学习课件详细介绍语言,此外仅引例说明设计流程,不作语法详细介绍,可先模仿实现。 例:例:使用VHDL设计模为60的8421BCD计数器返回目录8/5/2024121湖北众友科技EDA工作室7.1建立文本文件与输入VHDL程序选择File/New出现设计输入文件选

83、择对话框,选择Text Editor File。 输入如下文本:- A asynchronous reset;enable up; 8421BCD counter- module=60;librarylibrary ieee;useuse ieee.std_logic_1164.allall;useuse ieee.std_logic_unsigned.allall;ENTITYENTITY cntm60v ISISPORTPORT(en: IN IN std_logic; clear: ININ std_logic; clk: IN IN std_logic; cout: outout st

84、d_logic; qh: bufferbuffer std_logic_vector(3 downtodownto 0); ql: bufferbuffer std_logic_vector(3 downtodownto 0);ENDEND cntm60v;程序包实体8/5/2024122湖北众友科技EDA工作室7.2输入VHDL程序与编译、下载此文件保存为cntm60v.vhd。注注意意保保存存时时一一定定要要选选择择vhdvhd的的文文件件后后缀缀且且文文件件名名必必须须与与实实体体名名相相同同。将此 文 件 设 为 当 前 项 目(File/Project/Set Project to

85、Current File)后编译,之后建立模拟文件cntm60v.scf来仿真此计数器,下载等。设计过程同原理图输入方法,可参考第四章内容。结构体8/5/2024123湖北众友科技EDA工作室第八章 混合设计输入法由HDL设计的电路也可生成一个元件,然后在图形中调用,即可实现混合设计。如将第六章中顶层设计文件“clock.gdf” 由图形实现的cntm60换为由第七章VHDL实现的cntm60v,即完成VHDL与图形的混合设计。这时顶层文件如下图所示: 此时,通过工具条中的 或菜单“Max+plusII”下“Hierarchy Display” 窗口,可看到此时的层次结构中有两个VHDL构成的

86、低层,整个层次结构复杂多了。返回目录8/5/2024124湖北众友科技EDA工作室第九章 基于LPM的设计功能LPMLPM(可调参数元件)使用可调参数元件)使用 Max+plusII 中为增加元件库的灵活性,为一些常用功能模块提供了参数化元件,这些元件的规模及具体功能可由用户直接指定。如同可编程元件。这类元件的使用同其他元件类似,仅要求用户按自己需要设置一些具体参数。下面以lpm_counter元件为例讲述参数化元件的使用方法。 例:例:使用可调参数元件lpm_counter直接构成一个模为12,具有异步清零,计数使能功能的计数器。返回目录8/5/2024125湖北众友科技EDA工作室9.1调

87、入参数化元件(1)(1) 调入参数化元件。首先建立一个图形输入文件“tm12l.gdfgdf”,在图形编辑器中,双击空白处,打开元件输入对话框,如左图所示。调入元件,击占OK“按钮。LPMLPM库库在可变参数库mega_lpm中选择符号lpm_counter,可调参数元件lpm_counter 是一个二进制计数器,可以实现加、减或加/减计数、数控分频器等,可以选择同步或异步清零/置数功能。我们用它实现模为12,具有异步清零,计数使能功能的计数器。 8/5/2024126湖北众友科技EDA工作室9.2设置元件参数(2)(2) 在出现的对话框中,按需要设置lpm_counter的具体参数。我们仅需

88、计数器具有异步清零,计数使能功能,因此在“Ports”区,选择使用“aclr cnt_en clock qLPM_WIDTH-1.0”,其他信号选择不用,即“Unused”。为实现这一步,只要在“Ports”区的“Name”下点中某信号,然后在“Port Status”区选择“Used”或“Unused”即可。在“Parameters”区的“Name”下面选中一具体参数,如“LPM_MODULUS”,其代表计数器的模值,这时“LPM_MODULUS”会出现在“Parameters Name”旁的编辑行中,然后在“Parameters Value”旁的编辑区添上“12”,单击按钮“Change”

89、即可完成此参数设置。按同样步骤,将“LPM_WIDTH” 设为4,代表四位计数器。注:注:单击“Help on LPM_Counter”按钮可获得所有关于lpm_counter的信息:每个参数含义,取值等。 8/5/2024127湖北众友科技EDA工作室9.3完成设计(3)(3) 设置好后按“OK”按钮,这时在图形编辑区出现刚才所定制的计数器符号,如下图所示。加上具体输入输出管脚、编译、器件选择、管脚锁定、仿真、配置,最后完成该设计,方法参考第四章。注意,图中“q”的宽度为4,因此输出信号宽度也要为4,如qcnt3.0;qout3.0等。符号具具体体参参数数区区: 若想改变此参数,只需双击该区

90、,进入设置对话框,重新设置参数8/5/2024128湖北众友科技EDA工作室9.4关于EP1K30QC208-2中EAB的说明返回目录( (4)4) 在Altera的ACEX1KACEX1K系列器件中,每个嵌入式阵列块(EAB)具有高达16位寻址能力的双口RAM,在EP1K30QC208-2中有六六块EAB,每块大小为4K位,可构成40961,20482,10244,5128,25616共五种类型RAM/ROM中任意一种。比如使用LPM_ROM元件,利用内部一块EAB构成一个288的一个ROM用于存放九九乘法表(可参考EDA-E的示例课件中基于LPM的四位乘法器的设计示例,在这里不再详细说明)

91、。本章介绍了LPM_COUNTER的用法,其它大量的LPM元件的调用方法都是一样的,只不过功能不同,功能设置可参考帮助文件,课后同学们不防调用几个LPM元件了解一下。8/5/2024129湖北众友科技EDA工作室第十章 应用中常见错误及处理方法返回目录在设计中碰到的一般错误已在前面几章有所说明,在整个设计中错误大多数在编译输入文件时碰到,所以重点说一下编译时碰到错误的处理方法。Max+plusII不仅给出错误提示还可以将错误定位。下面以常见错误但不易定位或排除的例子,讲述如何定位及排除。 8/5/2024130湖北众友科技EDA工作室10.1输出短接(1)(1) 回到原来“clock.gdf”

92、文件,若将cntm60的cout与cntm12的h0连接在一起,如下图所示: 8/5/2024131湖北众友科技EDA工作室10.1编译出错分析(2)(2) 将其编译,发现如图1-69所示的两条错误信息:错误信息告诉三态驱动有误,但我们设计中并没有用到三态门。实际上,这是错误定位不明确,但考虑到,三态门是允许输出接在一起的,此错误信息还是恰当的。8/5/2024132湖北众友科技EDA工作室10.1错误定位分析(3)(3) 为找出错误之处,双击第一条错误信息,显示下图。可看到,错误定位在f74161.gdf的一个触发器上,好象与我们设计无关。使用菜单“file”下“hierarchy”的“UP

93、”回到“f74161.gdf”上一层“74161.tdf”;继续使用“file”下“hierarchy”的“UP”回到“74161.tdf”上一层“cntm12.gdf”;再回到“cntm12.gdf”的上一层,可发现错误被定位在符号“cntm12”上,此时应能找出错误。在实际定位错误时,菜单“file”下“hierarchy”的“UP”/“DOWN”操作是很有用的。8/5/2024133湖北众友科技EDA工作室10.2其它在项目设计中一定要层次清晰,这样我们好错误定位。另外操作要细心,语言输入和连线要准确等,以免人为粗心大意出错,查找一此低级错误而浪费精力。在设计项目不明白的地方多用帮助文件,从而减少错误的出现。返回目录8/5/2024134湖北众友科技EDA工作室结束语至此软件使用介绍全部结束,至此软件使用介绍全部结束,如果要了解该软件更详细的如果要了解该软件更详细的内容可以查看软件的帮助文内容可以查看软件的帮助文件或查找相关教材及资料,件或查找相关教材及资料,或登入或登入WWW.ALTERA.COMWWW.ALTERA.COM网站网站查询和索取相关信息。查询和索取相关信息。 返回首面8/5/2024135湖北众友科技EDA工作室

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号