以及逻辑功能的描述方法;2.同步时序逻辑电路的分析方法

上传人:公**** 文档编号:570512154 上传时间:2024-08-04 格式:PPT 页数:63 大小:3.61MB
返回 下载 相关 举报
以及逻辑功能的描述方法;2.同步时序逻辑电路的分析方法_第1页
第1页 / 共63页
以及逻辑功能的描述方法;2.同步时序逻辑电路的分析方法_第2页
第2页 / 共63页
以及逻辑功能的描述方法;2.同步时序逻辑电路的分析方法_第3页
第3页 / 共63页
以及逻辑功能的描述方法;2.同步时序逻辑电路的分析方法_第4页
第4页 / 共63页
以及逻辑功能的描述方法;2.同步时序逻辑电路的分析方法_第5页
第5页 / 共63页
点击查看更多>>
资源描述

《以及逻辑功能的描述方法;2.同步时序逻辑电路的分析方法》由会员分享,可在线阅读,更多相关《以及逻辑功能的描述方法;2.同步时序逻辑电路的分析方法(63页珍藏版)》请在金锄头文库上搜索。

1、本章的重点:本章的重点: 1 1时序逻辑电路在电路结构和逻辑功能上的特点,时序逻辑电路在电路结构和逻辑功能上的特点,以及逻辑功能的描述方法;以及逻辑功能的描述方法; 2 2同步时序逻辑电路的分析方法和设计方法;同步时序逻辑电路的分析方法和设计方法; 3 3常用的中规模集成时序逻辑电路器件的应用。常用的中规模集成时序逻辑电路器件的应用。本章的难点:本章的难点: 本章难点是同步时序逻辑电路的分析方法和设计方本章难点是同步时序逻辑电路的分析方法和设计方法。同步时序逻辑电路的分析方法和设计方法既是本法。同步时序逻辑电路的分析方法和设计方法既是本章的一个难点,又是一个重点。这些方法不仅适用于章的一个难点

2、,又是一个重点。这些方法不仅适用于用中小规模器件设计时序逻辑电路,而且也是第八章用中小规模器件设计时序逻辑电路,而且也是第八章中使用可编程逻辑器件设计时序逻辑电路所必须具备中使用可编程逻辑器件设计时序逻辑电路所必须具备的基础知识。的基础知识。1第五章第五章 时序逻辑电路时序逻辑电路第一节第一节 概述概述 一、定义:任一时刻电路的稳定输出不仅取决于当时的输入一、定义:任一时刻电路的稳定输出不仅取决于当时的输入信号,而且还取决于电路原来的状态,或者说,还与以前的输入信号,而且还取决于电路原来的状态,或者说,还与以前的输入有关。有关。结构上的特点:结构上的特点:1. 必须包含存储器,通常还包含组合电

3、路;必须包含存储器,通常还包含组合电路; 2.存储器的输出状态必须反馈到组合电路的输入端。存储器的输出状态必须反馈到组合电路的输入端。CP ai bi ci-1(Q) si ci(D) 0 a0 b0 0 s0 c01 a1 b1 c0 s1 c12 a2 b2 c1 s2 c2由此可归纳出时序电路的框图:由此可归纳出时序电路的框图:2二二 、时序电路的框图时序电路的框图外外部部输输入入外外部部输输出出原原状态状态: 新状态:新状态:三、描述其逻辑功能的方程组三、描述其逻辑功能的方程组驱动方程驱动方程状状态态变变量量输出方程输出方程状态方程状态方程状态用状态用qlq1表示。表示。3四、时序电路

4、的分类四、时序电路的分类 同步时序逻辑电路同步时序逻辑电路:电路中所有触发器状态的变化都在同一:电路中所有触发器状态的变化都在同一 时钟信号的同一边沿发生。时钟信号的同一边沿发生。 异步时序逻辑电路异步时序逻辑电路:不满足同步时序逻辑电路的条件。:不满足同步时序逻辑电路的条件。 不在同一时钟边沿翻转;不在同一时钟边沿翻转; 没有时钟信号。没有时钟信号。按按输出信号的特点分输出信号的特点分,可分为,可分为米利型米利型(Mealy)和)和 穆尔型穆尔型(Moore)两种。两种。米利型米利型:输出信号与电路的状态和输入变量都有关。:输出信号与电路的状态和输入变量都有关。穆尔型穆尔型:输出信号只取决于

5、电路的状态。:输出信号只取决于电路的状态。(电路可能没有输入信号)。(电路可能没有输入信号)。按电路中触发器的动作特点可分为:按电路中触发器的动作特点可分为:同步时序逻辑电路;同步时序逻辑电路;异步时序逻辑电路。异步时序逻辑电路。4五、本章重点五、本章重点 时序电路的分析;时序电路的分析; 时序电路的设计;时序电路的设计; 常用电路。常用电路。包括同步和异步时序电路,包括同步和异步时序电路,以同步电路为重点以同步电路为重点只要求同步电路的设计;只要求同步电路的设计;包括计数器和串行数据包括计数器和串行数据检测器检测器包括寄存器和计数器包括寄存器和计数器5第二节第二节 同步时序电路的分析方法同步

6、时序电路的分析方法例例1:分析七进制递增计数器。:分析七进制递增计数器。要求:要求:解:解:分析:必须求出三组方程分析:必须求出三组方程: 输出方程、驱动方程、输出方程、驱动方程、状态方程。状态方程。第第1步:求驱动方程和输出方程步:求驱动方程和输出方程J1= Q3Q2K1= 1J2= Q1 K2= Q1 Q3J3= Q2Q1K3= Q2驱驱动动方方程程输出方程输出方程:Y = Q3Q2 逻辑图逻辑图 逻辑功能逻辑功能6第第2步:求状态方程步:求状态方程 方法:将驱动方程代入所方法:将驱动方程代入所用触发器的特性方程。用触发器的特性方程。Qn+1=J Qn + K Qn 省略表示原状态的省略表

7、示原状态的n: 为了更直观的描述时序电路的功能,还要引进新的描述方为了更直观的描述时序电路的功能,还要引进新的描述方法。如:状态转换表、状态转换图、时序图(波形图)。法。如:状态转换表、状态转换图、时序图(波形图)。J1= Q3Q2K1= 1J2= Q1 K2= Q1 Q3J3= Q2Q1K3= Q27第第3步:求状态转换表步:求状态转换表第第4步步: 求状态转换图求状态转换图 有时还要画电有时还要画电路的工作波形图,路的工作波形图,也叫时序图。也叫时序图。X注意注意Q端顺序和端顺序和X,Y的标法的标法8第第5步:步: 求时求时序图序图9例例2:分析图示:分析图示有输入信号的有输入信号的时序电

8、路:时序电路:第第1步步:驱动方程、驱动方程、输出方程输出方程第第3步:状态转换表步:状态转换表解:解:第第2步:状态方程步:状态方程可称为次态卡诺图可称为次态卡诺图10 分析分析:这是一个:这是一个可控计数器。当可控计数器。当A=0时,是加法计数器,时,是加法计数器,其状态由其状态由00递增到递增到11,再从,再从00开始;当开始;当A=1时,是减法计数时,是减法计数器,其状态由器,其状态由11递减递减到到00,再从,再从11开始。开始。第四步:状态转第四步:状态转换图换图11第三节第三节 若干常用时序逻辑电路若干常用时序逻辑电路一、寄存器和移位寄存器一、寄存器和移位寄存器(一)一) 寄存器

9、寄存器功能:寄存二值代码。功能:寄存二值代码。构成:用各种结构的触发器均可实现。构成:用各种结构的触发器均可实现。下面分析下面分析CC4076的功能:的功能:12功能表:功能表:置数置数清零清零010D0110000高高阻阻 1 cpENA+ENBLDA+LDBRDQ0Q0保持保持13(二)移位寄存器二)移位寄存器功能:存储的代码能够在移位脉冲的作用下依次左移或右移。功能:存储的代码能够在移位脉冲的作用下依次左移或右移。应用:数据的串行应用:数据的串行并行转换、数值运算以及数据处理等。并行转换、数值运算以及数据处理等。构成:各种主构成:各种主从结构、边沿结构的触发器。以从结构、边沿结构的触发器

10、。以D触发器最方便。触发器最方便。分类:右移、左移、双向。分类:右移、左移、双向。1.右移右移连接方程:连接方程: Di = Qi-114连接方程:连接方程: Di = Qi-12.左移左移连接方程:连接方程: Di = Qi+13.双向双向连接方程:连接方程: DI = S QI-1 + S QI+1S=1 右移,右移, S=0 左移。左移。154.集成移位寄存器集成移位寄存器74LS194A工作模式控制工作模式控制异步异步清零清零16(三)扩展与应用三)扩展与应用扩展扩展例如例如: 用两片用两片74LS194A连成连成8位双向移位寄存器。位双向移位寄存器。17应用举例应用举例数值运算数值运

11、算Y =8M+2N置置数数右右移移18二、计数器二、计数器(一)同步计数器(一)同步计数器2.分类:分类:同步、异步;同步、异步;加法(递增)、减法、可逆(加加法(递增)、减法、可逆(加/减);减);二进制、二二进制、二十进制、任意进制。十进制、任意进制。3.参数:参数:模模:一个工作循环包含的状态数。也称为:一个工作循环包含的状态数。也称为进制进制。1.二进制计数器二进制计数器模模为二的为二的整数次幂。整数次幂。构成:一般用构成:一般用T触发器。触发器。 下面以四位二进制加法计数器为例,研究下面以四位二进制加法计数器为例,研究分析分析方法。而减法方法。而减法和可逆计数器只作一般介绍。和可逆计

12、数器只作一般介绍。1.功能:对输入的时钟脉冲进行计数。功能:对输入的时钟脉冲进行计数。按触发器翻转按触发器翻转情况分类情况分类按计数器中数按计数器中数字增减分类字增减分类按计数器中数按计数器中数字编码分类字编码分类19第第1步:驱动方程,输出方程步:驱动方程,输出方程C=Q3Q2Q1Q0第第2步:状态方程步:状态方程=Q0 Q1=Q0Q1 Q2第三步:状态转换表第三步:状态转换表见下页。见下页。T1=Q0T2=Q0Q1T3=Q0Q1Q2T0=1=Q0Q1 Q2 Q320Q0n+1=Q0Q1n+1=Q0 Q1Q1n+1=Q0Q1 Q2Q2n+1=Q0Q1 Q2Q3n+1=Q0Q1Q2 Q321第

13、第4步:状态转换图步:状态转换图第第5步:时序图步:时序图Q0为为2分频分频;Q1为为4分频;分频;Q2为为8分频;分频;Q3和和C为为16分频。分频。应用:应用:分频器。分频器。22中规模集成中规模集成4位同步二位同步二进制计数器进制计数器74161同步预同步预置数置数异步清零异步清零工作状工作状态控制态控制数据输入数据输入74LS162,74LS163等是等是同步清零方式同步清零方式23用用T触发器构成触发器构成CC4520特点:通过控制时钟信号的有无来控制触发器的翻转。特点:通过控制时钟信号的有无来控制触发器的翻转。Q0=1时,时,CP可通过可通过Q0Q1=1时,时,CP可通过可通过Q0

14、Q1Q2=1时,时,CP可通过可通过24同步二进制减法计数器同步二进制减法计数器 同理,也可以用控制时钟同理,也可以用控制时钟信号的方法,用信号的方法,用T触发器来构触发器来构成。成。CC14526就是这样一种电就是这样一种电路。路。使用使用T 触发器,控制信号均来触发器,控制信号均来自前级的自前级的 端。端。Q(i=1,2n-1)25二进制加二进制加/减计数器(可逆计数器)减计数器(可逆计数器) a.单时钟式(加单时钟式(加/减控制式)减控制式) 将将加和减加和减计数器的驱动计数器的驱动方程组合起来,就得到可逆方程组合起来,就得到可逆计数器的驱动方程。计数器的驱动方程。电路的时序图请参阅图电

15、路的时序图请参阅图5.3.18。74LS191加加/减控制端减控制端异步置数异步置数26b.双时钟式双时钟式74LS193异步置数异步置数异步清零异步清零272.十进制计数器十进制计数器加法计数器加法计数器 重点介绍加法计数器。减重点介绍加法计数器。减法和可逆计数器情况与二进制法和可逆计数器情况与二进制计数器类似。计数器类似。功能:模为十的计数器。功能:模为十的计数器。C=Q3Q028 状态转换表状态转换表 状态转换图状态转换图有效循环有效循环无效状态无效状态无效状态无效状态由于有十个状态循环,由于有十个状态循环,故称为十进制计数器。故称为十进制计数器。由于六个无效状态都可由于六个无效状态都可

16、以在时钟信号作用下进入以在时钟信号作用下进入有效循环,故称为有效循环,故称为可自启可自启动动的计数器。的计数器。29时序图时序图c0t74LS1605分分频频10分分频频其各输入端的功能与其各输入端的功能与74LS161完全相同。完全相同。30减法计数器减法计数器313.任意进制计数器任意进制计数器 可用触发器设计;也可用触发器设计;也可用中规模计数器构成可用中规模计数器构成后面将单独介绍。后面将单独介绍。可逆计数器可逆计数器74LS190是加减控制式是加减控制式.32(二)异步计数器二)异步计数器1.二进制计数器二进制计数器加法计数器加法计数器Q2Q1Q0000001010011100101

17、110111与与同步计数器比,具有如下特点:同步计数器比,具有如下特点:* 电路简单;电路简单;* 速度慢;速度慢; 常见常见MSI有:有:74LS293、74LS393、74HC3934位;位;CC4024(7位)、位)、CC4040(12位位)、CC4060(14位位).特点:当特点:当Qi-1有下降沿时,有下降沿时,Qi翻转。翻转。构成:用构成:用T/触发器;触发器;CPi=Qi-1CP0=cp33减法计数器减法计数器特点:前级特点:前级 端接后级端接后级CP端。端。 利用上升沿翻转的触发器也可构成加法和减法计数器。利用上升沿翻转的触发器也可构成加法和减法计数器。请同学自行分析。请同学自

18、行分析。342.十进制计数器十进制计数器 以十进制计以十进制计数器为例介绍异数器为例介绍异步时序电路的分步时序电路的分析方法。析方法。 特点:步骤与特点:步骤与同步电路相同,区同步电路相同,区别在于要随时注意别在于要随时注意各触发器的时钟信各触发器的时钟信号。号。第一步:驱动方程,输出方程第一步:驱动方程,输出方程时钟方程时钟方程第二步:状态方程第二步:状态方程CP0=CPCP1=Q0CP2=Q1CP3=Q0C=Q3Q0CP35CP第三步:状态表第三步:状态表第四步:状态图第四步:状态图3674LS290 简介简介置置9 端端:S9 1S9 2 = 1时,状态置为时,状态置为1001 (9).

19、置置0 端端:S0 1S0 2 = 1时,状态置为时,状态置为0000 (0). 时钟由时钟由CP1输入时,为输入时,为五进制计数器五进制计数器。时钟由时钟由CP0输入时,将输入时,将Q0与与CP1相连,为相连,为十进制计数器十进制计数器。异步异步置置9端端异步置异步置0端端二二五五十进十进制计数器制计数器等效为这样等效为这样37(三)三) 任意计数器的构成方法任意计数器的构成方法用用状态图解释上述三种方法:状态图解释上述三种方法:如何用如何用MSI计数器构成任意进制计数器。计数器构成任意进制计数器。设设已知已知MSI计数器的模为计数器的模为N,要构成的任意进制计数器的模为要构成的任意进制计数

20、器的模为M。方法:方法:1.用门(一般为与非门)译出对应状态用门(一般为与非门)译出对应状态S1;2.再清零或置数再清零或置数具体操作分三种情况:具体操作分三种情况:(1) 用用 端清零端清零异步置零法;异步置零法;(3) 用用 端置数端置数同步置数法;同步置数法;(2) 用用 端清零端清零同步置零法;同步置零法;异步置异步置零法零法同步置同步置零法零法同步置同步置数法数法有的器件有的器件 端端是异步工作的是异步工作的38 MN如何处理如何处理两级间连接方法两级间连接方法 方法:用多片方法:用多片N进制计数器连成模大于进制计数器连成模大于M的计数器,再的计数器,再用上述三种方法连成用上述三种方

21、法连成M进制计数器。也可由进制计数器。也可由M=M1*M2,先构先构成成M1、M2进制计数器,再进行级联。进制计数器,再进行级联。1.并行进位法并行进位法同步工作方式同步工作方式注意此处注意此处连接方式连接方式422.串行进位法串行进位法异步工作方式异步工作方式注意非门的使用注意非门的使用43用用RD端整体端整体置零置零译码的状译码的状态仍为态仍为MG1、G2门门均可作为进均可作为进位输出位输出用用LD端整体置数端整体置数例如:例如:29进进制计数器。制计数器。仍为仍为29进制进制计数器(置计数器(置0法)法)44用用M=M1*M2级联的方法级联的方法M1=10 ,M2= 6 , M=60 。

22、注意两种进位方式。注意两种进位方式。1 145(四)移位寄存器型计数器(四)移位寄存器型计数器1.环形计数器环形计数器 以移位寄存器为基础,通过以移位寄存器为基础,通过修改反馈逻辑,构成计数器。修改反馈逻辑,构成计数器。0001100001000010001110011100011001111011110111100101101000001111主循环主循环主循环主循环1. 模模等于触发器等于触发器 个数个数,即,即 N = n 。2. 不需译码。不需译码。3. 不能自起动。不能自起动。特点:特点:46解决自启动的方法:解决自启动的方法: 或非门的输入不或非门的输入不包括最右面触发器包括最右面

23、触发器Q端。端。472. 扭环形计数器扭环形计数器特点:特点:1.模模等于触发器个数的等于触发器个数的2倍倍- N = 2 n;2.不能自启动;不能自启动;3.需要译码,但电路简单,且无竞争冒险。需要译码,但电路简单,且无竞争冒险。有效循环有效循环无效循环无效循环48解决自启动的方法:解决自启动的方法: 在在D0驱动方程中驱动方程中或或一一个个与项,与项,使有效循环保持、使有效循环保持、无效循环被切断:无效循环被切断: D0= Q3 + P .Q0 n+1 = Q3 + Q1Q2有效循环有效循环无效循环无效循环P=Q0Q1Q2Q31110101110010010010110100Q0Q1Q2Q

24、3P=Q1 Q2K图中空格代表约束项。图中空格代表约束项。Q0n+1K图图可用约束项化简可用约束项化简P式式=Q3 Q1Q24910110110010110100Q0Q1Q2Q3译码方法译码方法Y0 = Q0 Q3以以0000状态为例:状态为例:同理:同理:Y1 = Q0 Q1Y2 = Q1 Q2Y3 = Q2 Q3Y4 = Q0 Q3Y5 = Q0 Q1Y6 = Q1 Q2Y7 = Q2Q30000状态(译码为状态(译码为Y0)的)的K图图50第四节第四节 同步时序逻辑电路的设计方法同步时序逻辑电路的设计方法一、设计的步骤:一、设计的步骤:任务:任务:功能功能 逻辑图逻辑图 逻辑图逻辑图 驱

25、动方程驱动方程输出方程输出方程状态方程状态方程状态转换表状态转换表状态转换图状态转换图第一步第一步第二步第二步第三步第三步第四步第四步我们以图示电我们以图示电路为例,由后路为例,由后向前推出设计向前推出设计必要的步骤:必要的步骤:Qn+1=J Qn+K Qn51二、设计举例二、设计举例1. 计数器设计计数器设计 例例1:设计同步设计同步13进进制加法计数器。制加法计数器。第一步:状态图第一步:状态图 计数器的状态图可直接画计数器的状态图可直接画出。但要事先约定好编码。出。但要事先约定好编码。 13进制计数器有进制计数器有13个状态循个状态循环,可采用环,可采用4位编码位编码:011100001

26、00010000110010000101001100010000100101010010110000011001第二步:状态方程,输出方程第二步:状态方程,输出方程要借助次态卡诺图来求状态方程;而输出方程则很容易求出。要借助次态卡诺图来求状态方程;而输出方程则很容易求出。1011010010110100Q3Q2Q1Q00111000100100100 00110101011010000000100110101100 10110111Q3Q2Q1Q0C52将次态卡诺图分为将次态卡诺图分为4个卡诺图:个卡诺图:Q3Q2Q3n+1=Q2Q1Q0 + Q2Q3Q2n+1=Q1Q0Q2+ (Q1+Q0)

27、Q3Q2选择选择JK触发器触发器。1011010010110100Q3Q2Q1Q0000100100100 00110101011010000000100110101100 101101111011010010110100Q3Q2Q1Q011111Q3Q21011010010110100Q1Q011111Q2Q1Q0(Q3+Q3)Q3n+1=Q2Q1Q0Q3 + Q2Q3返回返回53Q1输出方程:输出方程: C= Q3Q2Q3Q21011010010110100Q1Q0111111Q3Q21011010010110100Q1Q0111111Q1n+1=Q0Q1+Q0Q1Q0n+1=(Q3+Q2

28、)Q0Q0为便于观察我们把为便于观察我们把Q3和和Q2的方的方程也列在下面:程也列在下面:Q3n+1=Q2Q1Q0Q3 + Q2Q3Q2n+1=Q1Q0Q2+ (Q1+Q0)Q3Q254第三步:驱动方程第三步:驱动方程Q1n+1=Q0Q1+Q0Q1Q0n+1=(Q3+Q2)Q0J3=Q2Q1Q0 K3= Q2Q2n+1=Q1Q0Q2+(Q1+Q0)Q3Q2Q3n+1 = Q2Q1Q0Q3+Q2Q3J1=Q0 K1 =Q0J2=Q1Q0 K2= Q3 Q1Q0第四步:画逻辑图第四步:画逻辑图J0=Q3Q2 K0= 1C=Q3Q2由于存在由于存在未使用状未使用状态,还有态,还有第五步。第五步。返回

29、55Q3Q21011010010110100Q3Q2Q1Q011111Q3Q21011010010110100Q1Q011111Q3Q21011010010110100Q1Q0111111Q3Q21011010010110100Q1Q0111111Q1Q0第五步:检查自启动第五步:检查自启动1101111011110010000011156 说明:如电路能说明:如电路能自启动,可在第一自启动,可在第一步中将状态图画成步中将状态图画成右图形式。右图形式。解:解:“111”序列检测器序列检测器XYCP 例例2:设计一个串行数:设计一个串行数据检测器。要求:连续输入据检测器。要求:连续输入三个或三个

30、以上三个或三个以上1时输出为时输出为1,其他情况下输出为其他情况下输出为0。2.序列检测器设计序列检测器设计 步骤与计数器设计相同,只是第一步步骤与计数器设计相同,只是第一步-求状态图要复求状态图要复杂些,可将其分为杂些,可将其分为3小步:小步:1.求原始状态图;求原始状态图;2.状态化简;状态化简;3.状态编码。状态编码。第一步:求状态转换图。第一步:求状态转换图。能自启动。能自启动。571.求原始状态图求原始状态图 因为不知所需状态数(这点与计数器不同),因此先用字因为不知所需状态数(这点与计数器不同),因此先用字母表示状态,进行逻辑抽象。母表示状态,进行逻辑抽象。S0 : 没有收到没有收

31、到1;S1 : 收到收到1个个1;S2 : 收到收到2个个1;S3 : 收到收到3个个以上以上1。S0S1S2S30/00/01/00/01/01/10/02.进行状态化简进行状态化简 操作:寻找等价状态,只保留操作:寻找等价状态,只保留一个等价状态在状态图中。一个等价状态在状态图中。等价状态:在同样的输入下有同等价状态:在同样的输入下有同样的输出,而且次态也相同。样的输出,而且次态也相同。 在本例中,在本例中,S2与与S3等价。因此只保等价。因此只保留留S2 。且将。且将S2定义为收到定义为收到2个个以上以上1。3.状态编码状态编码编码位数与状态数有关;编码不同所得的电路不同。编码位数与状态

32、数有关;编码不同所得的电路不同。1/11/01/10001100/00/01/00/01/01/1S0S1S20/00/01/00/058Q1n+1Q0n+1第二步:状态方程、输出方程第二步:状态方程、输出方程Y = X Q1用用JK触发触发器构成器构成用用D触发触发器构成器构成第三步:驱动方程第三步:驱动方程59第四步:逻辑图第四步:逻辑图第五步:检查自启动第五步:检查自启动D0=X Q1 Q0110010X=0X=1结论:结论:能自启动。能自启动。D1=X Q1 Q060例例3:求自动售货机状态图。求自动售货机状态图。 要求:货物单价要求:货物单价1.5元,有元,有1元和元和0.5元两种硬

33、币,每次投入一枚硬币,元两种硬币,每次投入一枚硬币,机器能找零。机器能找零。解:解:用用A表示表示1元硬币,元硬币,A=1 表示投入;表示投入;用用B表示表示0.5元硬币,元硬币,B=1 表示投入;表示投入;用用Y=1表示给出货物;表示给出货物;用用Z=1表示找给表示找给0.5元硬币;元硬币;用用S0状态表示没有收到钱;状态表示没有收到钱;用用S1状态表示收到状态表示收到0.5元钱;元钱;用用S2状态表示收到状态表示收到1元钱;元钱;依题意可得如下状态图:依题意可得如下状态图:S0S1S200/0001/0001/1010/1110/1000/0001/0010/0000/00AB/YZ或或投币口投币口找零口找零口出出货货口口61习题解答习题解答(二)(二)(1)基本)基本RS触发器,(触发器,(2)同步)同步RS触发器不可以。触发器不可以。(三)(三)Q2Q1(六)(六)00000101111111010010101011111101Q3Q2Q10001101100116274161ETEPD3D0D1D2LDRDQ0Q1Q2Q3CPC1CP1&(八)(八)12进制计数进制计数器。器。(九)(九)31进制计数进制计数器。器。74160ETEPD3D0D1D2LDRDQ0Q1Q2Q3CPCETEPD3D0D1D2LDRDQ0Q1Q2Q3CPC1CP&7416063

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 幼儿/小学教育 > 幼儿教育

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号