第7章 宏功能模块与IP应用

上传人:M****1 文档编号:570336009 上传时间:2024-08-03 格式:PPT 页数:106 大小:5.50MB
返回 下载 相关 举报
第7章 宏功能模块与IP应用_第1页
第1页 / 共106页
第7章 宏功能模块与IP应用_第2页
第2页 / 共106页
第7章 宏功能模块与IP应用_第3页
第3页 / 共106页
第7章 宏功能模块与IP应用_第4页
第4页 / 共106页
第7章 宏功能模块与IP应用_第5页
第5页 / 共106页
点击查看更多>>
资源描述

《第7章 宏功能模块与IP应用》由会员分享,可在线阅读,更多相关《第7章 宏功能模块与IP应用(106页珍藏版)》请在金锄头文库上搜索。

1、 第第7章章 宏功能模块与宏功能模块与IP应用应用 7.1 宏功能模块概述宏功能模块概述7.1.1 知识产权核的应用知识产权核的应用AMPP程序程序 MegaCore函数函数 OpenCore评估功能评估功能 OpenCore Plus硬件评估功能硬件评估功能 7.1 宏功能模块概述宏功能模块概述7.1.2 使用使用MegaWizard Plug-In Manager 1在在VerilogHDL和和VHDL中例化中例化2使用端口和参数定义使用端口和参数定义3使用端口和参数定义生成宏功能模块使用端口和参数定义生成宏功能模块7.1.3 在在Quartus II中对宏功能模块进行例化中对宏功能模块进

2、行例化7.2 LPM计数器模块使用方法计数器模块使用方法7.2.1 LPM_COUNTER计数器模块文本文件的调用计数器模块文本文件的调用(1)打开宏功能块调用管理器。)打开宏功能块调用管理器。 7.2 LPM计数器模块使用方法计数器模块使用方法(1)打开宏功能块调用管理器。)打开宏功能块调用管理器。 7.2 LPM计数器模块使用方法计数器模块使用方法(2)单击)单击Next按钮后打开如图按钮后打开如图7-3所示的对话框。所示的对话框。 7.2 LPM计数器模块使用方法计数器模块使用方法7.2.1 LPM_COUNTER计数器模块文本文件的调用计数器模块文本文件的调用(3)再单击)再单击Nex

3、t按钮,打开如图按钮,打开如图7-4所示的对话框。所示的对话框。 7.2 LPM计数器模块使用方法计数器模块使用方法7.2.1 LPM_COUNTER计数器模块文本文件的调用计数器模块文本文件的调用(4)再单击)再单击Next按钮,打开如图按钮,打开如图7-5所示的对话框。所示的对话框。 7.2 LPM计数器模块使用方法计数器模块使用方法7.2.2 LPM计数器程序与参数传递语句计数器程序与参数传递语句参数传递说明语句参数传递说明语句defparam的一般表述如下:的一般表述如下:7.2.2 LPM计数器程序与参数传递语句计数器程序与参数传递语句7.2 LPM计数器模块使用方法计数器模块使用方

4、法7.2.2 LPM计数器程序与参数传递语句计数器程序与参数传递语句7.2 LPM计数器模块使用方法计数器模块使用方法7.2.3 创建工程与仿真测试创建工程与仿真测试7.2 LPM计数器模块使用方法计数器模块使用方法7.2.3 创建工程与仿真测试创建工程与仿真测试7.3 基于基于LPM的流水线乘法累加器设计的流水线乘法累加器设计 7.3 基于基于LPM的流水线乘法累加器设计的流水线乘法累加器设计 7.3.1 LPM加法器模块设置调用加法器模块设置调用 7.3 基于基于LPM的流水线乘法累加器设计的流水线乘法累加器设计 7.3.1 LPM加法器模块设置调用加法器模块设置调用 7.3 基于基于LP

5、M的流水线乘法累加器设计的流水线乘法累加器设计 7.3.1 LPM加法器模块设置调用加法器模块设置调用 7.3 基于基于LPM的流水线乘法累加器设计的流水线乘法累加器设计 7.3.1 LPM加法器模块设置调用加法器模块设置调用 7.3 基于基于LPM的流水线乘法累加器设计的流水线乘法累加器设计 7.3.2 LPM乘法器模块设置调用乘法器模块设置调用7.3 基于基于LPM的流水线乘法累加器设计的流水线乘法累加器设计 7.3.2 LPM乘法器模块设置调用乘法器模块设置调用7.3 基于基于LPM的流水线乘法累加器设计的流水线乘法累加器设计 7.3.3 乘法累加器的仿真测试乘法累加器的仿真测试7.3

6、基于基于LPM的流水线乘法累加器设计的流水线乘法累加器设计 7.3.3 乘法累加器的仿真测试乘法累加器的仿真测试7.3 基于基于LPM的流水线乘法累加器设计的流水线乘法累加器设计 7.3.3 乘法累加器的仿真测试乘法累加器的仿真测试7.3 基于基于LPM的流水线乘法累加器设计的流水线乘法累加器设计 7.3.4 乘法器的乘法器的Verilog文本表述和相关属性设置文本表述和相关属性设置7.3 基于基于LPM的流水线乘法累加器设计的流水线乘法累加器设计 7.3.4 乘法器的乘法器的Verilog文本表述和相关属性设置文本表述和相关属性设置7.3 基于基于LPM的流水线乘法累加器设计的流水线乘法累加

7、器设计 7.3.4 乘法器的乘法器的Verilog文本表述和相关属性设置文本表述和相关属性设置7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用7.4.1 存储器初始化文件生成存储器初始化文件生成1建立建立.mif格式文件格式文件(1)直接编辑法。)直接编辑法。 7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用1建立建立.mif格式文件格式文件(2)文件编辑法。)文件编辑法。 7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用(3)C等软件生成。等软件生成。 7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用(4)专用)专用mif文件生成器。文件生成器

8、。 7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用7.4.1 存储器初始化文件生成存储器初始化文件生成2建立建立.hex格式文件格式文件7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用7.4.2 LPM_RAM的设置和调用的设置和调用7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用7.4.2 LPM_RAM的设置和调用的设置和调用7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用7.4.2 LPM_RAM的设置和调用的设置和调用7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用7.4.2 LPM_RAM的设置和调用的设置和调用7.4 L

9、PM 随机存储器的设置和调用随机存储器的设置和调用7.4.2 LPM_RAM的设置和调用的设置和调用7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用7.4.2 LPM_RAM的设置和调用的设置和调用7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用7.4.3 对对LPM_RAM仿真测试仿真测试7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用7.4.4 Verilog的存储器描述及相关属性的存储器描述及相关属性7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用7.4.4 Verilog的存储器描述及相关属性的存储器描述及相关属性1存储器端口描述存储器端

10、口描述2存储器的存储器的Verilog一般描述一般描述7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用7.4.4 Verilog的存储器描述及相关属性的存储器描述及相关属性2存储器的存储器的Verilog一般描述一般描述7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用7.4.4 Verilog的存储器描述及相关属性的存储器描述及相关属性3存储器初始化文件属性应用存储器初始化文件属性应用 7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用7.4.4 Verilog的存储器描述及相关属性的存储器描述及相关属性3存储器初始化文件属性应用存储器初始化文件属性应用 7.

11、4 LPM 随机存储器的设置和调用随机存储器的设置和调用7.4.4 Verilog的存储器描述及相关属性的存储器描述及相关属性4文本方式调用存储器文本方式调用存储器LPM模块模块7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用7.4.4 Verilog的存储器描述及相关属性的存储器描述及相关属性4文本方式调用存储器文本方式调用存储器LPM模块模块7.4 LPM 随机存储器的设置和调用随机存储器的设置和调用7.4.4 Verilog的存储器描述及相关属性的存储器描述及相关属性4文本方式调用存储器文本方式调用存储器LPM模块模块接下页接下页7.4.4 Verilog的存储器描述及相关属

12、性的存储器描述及相关属性4文本方式调用存储器文本方式调用存储器LPM模块模块接上页接上页7.5 LPM_ROM的定制和使用示例的定制和使用示例7.5.1 LPM_ROM的定制调用和测试的定制调用和测试7.5 LPM_ROM的定制和使用示例的定制和使用示例7.5.1 LPM_ROM的定制调用和测试的定制调用和测试7.5 LPM_ROM的定制和使用示例的定制和使用示例7.5.1 LPM_ROM的定制调用和测试的定制调用和测试7.5 LPM_ROM的定制和使用示例的定制和使用示例7.5.2 LPM存储器模块取代设置存储器模块取代设置7.5 LPM_ROM的定制和使用示例的定制和使用示例7.5.3 简

13、易正弦信号发生器设计简易正弦信号发生器设计7.5 LPM_ROM的定制和使用示例的定制和使用示例7.5.3 简易正弦信号发生器设计简易正弦信号发生器设计7.5 LPM_ROM的定制和使用示例的定制和使用示例7.5.3 简易正弦信号发生器设计简易正弦信号发生器设计7.5 LPM_ROM的定制和使用示例的定制和使用示例7.5.3 简易正弦信号发生器设计简易正弦信号发生器设计7.5 LPM_ROM的定制和使用示例的定制和使用示例7.5.4 正弦信号发生器硬件实现和测试正弦信号发生器硬件实现和测试7.5 LPM_ROM的定制和使用示例的定制和使用示例7.5.4 正弦信号发生器硬件实现和测试正弦信号发生

14、器硬件实现和测试7.6 在系统存储器数据读写编辑器应用在系统存储器数据读写编辑器应用(1)打开在系统存储单元编辑窗口。)打开在系统存储单元编辑窗口。 7.6 在系统存储器数据读写编辑器应用在系统存储器数据读写编辑器应用(2)读取)读取ROM中的波形数据。中的波形数据。 7.6 在系统存储器数据读写编辑器应用在系统存储器数据读写编辑器应用(3)写数据。)写数据。 7.6 在系统存储器数据读写编辑器应用在系统存储器数据读写编辑器应用(4)输入输出数据文件。)输入输出数据文件。 7.7 FIFO定制定制7.7 FIFO定制定制7.7 FIFO定制定制7.8 LPM嵌入式锁相环调用嵌入式锁相环调用 7

15、.8.1 建立嵌入式锁相环元件建立嵌入式锁相环元件7.8 LPM嵌入式锁相环调用嵌入式锁相环调用 7.8.1 建立嵌入式锁相环元件建立嵌入式锁相环元件7.8 LPM嵌入式锁相环调用嵌入式锁相环调用 7.8.1 建立嵌入式锁相环元件建立嵌入式锁相环元件7.8 LPM嵌入式锁相环调用嵌入式锁相环调用 7.8.1 建立嵌入式锁相环元件建立嵌入式锁相环元件7.8 LPM嵌入式锁相环调用嵌入式锁相环调用 7.8.2 联合设计与测试联合设计与测试 7.8 LPM嵌入式锁相环调用嵌入式锁相环调用 7.8.2 联合设计与测试联合设计与测试 7.8 LPM嵌入式锁相环调用嵌入式锁相环调用 7.8.3 测试锁相环

16、测试锁相环 7.9 NCO核数控振荡器使用方法核数控振荡器使用方法 (1)定制)定制NCO。 7.9 NCO核数控振荡器使用方法核数控振荡器使用方法 (2)进入)进入Core文件生成选择窗。文件生成选择窗。 7.9 NCO核数控振荡器使用方法核数控振荡器使用方法 (3)设置参数。)设置参数。 7.9 NCO核数控振荡器使用方法核数控振荡器使用方法 (3)设置参数。)设置参数。 7.9 NCO核数控振荡器使用方法核数控振荡器使用方法 (4)生成仿真文件。)生成仿真文件。 7.9 NCO核数控振荡器使用方法核数控振荡器使用方法 (5)加入)加入IP授权文件。授权文件。 7.9 NCO核数控振荡器使

17、用方法核数控振荡器使用方法 (6)选择目标器件,然后对生成的模块进行编译及功能检测。)选择目标器件,然后对生成的模块进行编译及功能检测。 7.9 NCO核数控振荡器使用方法核数控振荡器使用方法 (6)选择目标器件,然后对生成的模块进行编译及功能检测。)选择目标器件,然后对生成的模块进行编译及功能检测。 7.10 使用使用IP Core设计设计FIR滤波器滤波器 7.10 使用使用IP Core设计设计FIR滤波器滤波器 7.10 使用使用IP Core设计设计FIR滤波器滤波器 7.10 使用使用IP Core设计设计FIR滤波器滤波器 7.11 8051单片单片机机IP核应核应用用 7.11

18、 8051单片机单片机IP核应用核应用 7.11 8051单片机单片机IP核应用核应用 7.12 DDS实现原理与应用实现原理与应用 7.12.1 DDS实现原理实现原理 7.12 DDS实现原理与应用实现原理与应用 7.12.1 DDS实现原理实现原理 7.12 DDS实现原理与应用实现原理与应用 7.12.1 DDS实现原理实现原理 7.12 DDS实现原理与应用实现原理与应用 7.12.2 DDS信号发生器设计信号发生器设计 习习 题题7-1 如果不使用如果不使用MegaWizard Plug-In Manager工具,如何在自己的设计工具,如何在自己的设计中调用中调用LPM模块?以计数

19、器模块?以计数器lpm_counter为例,写出调用该模块的程序,为例,写出调用该模块的程序,其中参数自定。其中参数自定。7-2 LPM_ROM/RAM/FIFO等模块与等模块与FPGA中嵌入的中嵌入的EAB、M9K有怎样的有怎样的联系?联系?7-3 参考参考Quartus II的的Help(Contents),详细说明),详细说明LPM元件元件altcam、altsyncram、lpm_fifo、lpm_shiftreg的使用方法,以及其中各参量的含的使用方法,以及其中各参量的含义和设置方法。义和设置方法。7-4 如果要设计一如果要设计一8051单片机应用系统,如何为它配置含有汇编程序代码单

20、片机应用系统,如何为它配置含有汇编程序代码的的ROM(文件)?(文件)?实验与设计实验与设计7-1查表式硬件运算器设计查表式硬件运算器设计 (1) 实验原理:实验原理: (2)实验内容实验内容1: 实验与设计实验与设计7-1查表式硬件运算器设计查表式硬件运算器设计 (3) 实验内容实验内容2: 7-2 简易正弦信号发生器设计简易正弦信号发生器设计 (1)实验目的:)实验目的:(2)实验原理:)实验原理:(3)实验内容)实验内容1:(4)实验内容)实验内容2:(5)实验内容)实验内容3:(6)实验报告:)实验报告: 实验与设计实验与设计7-3 八位数码显示频率计设计八位数码显示频率计设计(1)实

21、验目的:)实验目的: (2)实验原理:)实验原理: (3)实验内容)实验内容1: 实验与设计实验与设计7-3 八位数码显示频率计设计八位数码显示频率计设计(1)实验目的:)实验目的: (2)实验原理:)实验原理: (3)实验内容)实验内容1: 实验与设计实验与设计7-3 八位数码显示频率计设计八位数码显示频率计设计(1)实验目的:)实验目的: (2)实验原理:)实验原理: (3)实验内容)实验内容1: (4)实验内容)实验内容2:(:(5)实验内容)实验内容3:演示示例:演示示例:/KX_7C5EE+/EXPERIMENTs/EXP36_FTEST_HEX/F_TESTER。实验与设计实验与设

22、计7-4简易逻辑分析仪设计简易逻辑分析仪设计(1)实验原理:)实验原理: 实验与设计实验与设计7-4简易逻辑分析仪设计简易逻辑分析仪设计(1)实验原理:)实验原理: (2)实验任务)实验任务1: (3)实验任务)实验任务2:实验与设计实验与设计7-5 DDS信号发生器设计信号发生器设计 (1)实验目的:()实验目的:(2)实验原理:()实验原理:(3)实验内容)实验内容1:(4)实验内容)实验内容2:(:(5)实验内容)实验内容3:(:(6)实验内容)实验内容4:(:(7)实验内容)实验内容5:(8)思考题:)思考题:演示示例:演示示例:/KX_7C5EE+/EXPERIMENTs/EXP23

23、_DDS/DDSP。实验与设计实验与设计7-6 DDS移相信号发生器设计移相信号发生器设计 (1)实验原理:)实验原理:(2)实验内容)实验内容1:(3)实验内容)实验内容2:(4)实验内容)实验内容3:(5)思考题:)思考题:(6)实验报告:)实验报告: 实验与设计实验与设计7-7 4X4阵列键盘键信号检测电路设计阵列键盘键信号检测电路设计(1)实验原理:)实验原理:实验与设计实验与设计7-7 4X4阵列键盘键信号检测电路设计阵列键盘键信号检测电路设计(1)实验原理:)实验原理:实验与设计实验与设计7-7 4X4阵列键盘键信号检测电路设计阵列键盘键信号检测电路设计(2)实验任务)实验任务1:

24、(3)实验任务)实验任务2: (4)实验任务)实验任务3:(5)实验任务)实验任务4:(6)实验任务)实验任务5:(7)实验任务)实验任务6:5E+系统演示示例:系统演示示例:/KX_7C5EE+/EXPERIMENTs/EXP31_SCAN_4X4KEY/。 实验与设计实验与设计7-8 8051单片机单片机IP核核SOC片上系统设计实验片上系统设计实验(1)实验内容)实验内容1:(2)实验内容)实验内容2:(3)实验内容)实验内容3:(4)实验内容)实验内容4:5E+系统演示示例:系统演示示例:/KX_7C5EE+/EXPERIMENTs/EXP15_8051_Core_BASIC/MCU8951。实验与设计实验与设计7-9 VGA简单图像显示控制模块设计简单图像显示控制模块设计(1)实验原理:)实验原理: (2)实验内容)实验内容1:(3)实验内容)实验内容2:(4)实验内容)实验内容3:此项设计基于此项设计基于5E+系统的演示示例:系统的演示示例:/KX_7C5EE+/EXPERIMENTs/EXP12_VGA_img/VGA,和,和/KX_7C5EE+/EXPERIMENTs/EXP27_VGA_PCT/VGA_PCT。实验与设计实验与设计7-9 VGA简单图像显示控制模块设计简单图像显示控制模块设计(1)实验原理:)实验原理:

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 中学教育 > 试题/考题 > 初中试题/考题

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号