三章节组合逻辑电路

上传人:枫** 文档编号:570215258 上传时间:2024-08-02 格式:PPT 页数:52 大小:1.87MB
返回 下载 相关 举报
三章节组合逻辑电路_第1页
第1页 / 共52页
三章节组合逻辑电路_第2页
第2页 / 共52页
三章节组合逻辑电路_第3页
第3页 / 共52页
三章节组合逻辑电路_第4页
第4页 / 共52页
三章节组合逻辑电路_第5页
第5页 / 共52页
点击查看更多>>
资源描述

《三章节组合逻辑电路》由会员分享,可在线阅读,更多相关《三章节组合逻辑电路(52页珍藏版)》请在金锄头文库上搜索。

1、 第三章第三章 组合逻辑电路组合逻辑电路本章的重点:本章的重点: 1 1组合逻辑电路在电路结构和逻辑功能上的特点;组合逻辑电路在电路结构和逻辑功能上的特点; 2 2组合逻辑电路的设计方法;组合逻辑电路的设计方法; 3 3常用中规模集成组合电路器件的应用;常用中规模集成组合电路器件的应用; 4 4竞争竞争冒险现象及其成因,消除竞争冒险现象的方冒险现象及其成因,消除竞争冒险现象的方法。法。 本章的难点:本章的难点: 这一章没有可以算得上是难点的内容。书中给出的所有这一章没有可以算得上是难点的内容。书中给出的所有MSI器件的内部逻辑电路都不需要记忆,能读懂就行。器件的内部逻辑电路都不需要记忆,能读懂

2、就行。疼说耍毖词区咐菲玉宾筷琼斑恶赁供芒市训师履拍冗使症悸旗树膀涪冶餐三章节组合逻辑电路三章节组合逻辑电路1 第三章第三章 组合逻辑电路组合逻辑电路3.1 概述概述 一、一、组合逻辑电路组合逻辑电路 数字电路按逻辑功数字电路按逻辑功能可分为两大类能可分为两大类 1.组合逻辑电路组合逻辑电路 2.时序逻辑电路时序逻辑电路 在组合逻辑电路中任意时刻在组合逻辑电路中任意时刻的输出只取决于该时刻的输的输出只取决于该时刻的输入,与电路原来的状态无关。入,与电路原来的状态无关。 S=A B CI CO=(A B)CI+AB空核锄硷永相妨系熙舌妆安那虚瞩乘佩吟研和房执土友驳上贯档脓蔗翟引三章节组合逻辑电路三

3、章节组合逻辑电路2二、逻辑功能的描述二、逻辑功能的描述y1=f1(a1,a2,an)y2=f2(a1,a2,an) . . .ym=fm(a1,a2,an)Y=F(A)逻辑图、函数式或真值表均能描述,这里用函数式说明:逻辑图、函数式或真值表均能描述,这里用函数式说明:组合逻辑电路组合逻辑电路a1y1y2yma2an箍低民扛杀绵疙炉募随嘿蛤禽胯浦嫁曼制溉钡信咬杆租褥佛循蛙春绎密甩三章节组合逻辑电路三章节组合逻辑电路3 三、本章重点三、本章重点: 1.组合逻辑电路的分析;组合逻辑电路的分析; 2.组合逻辑电路的设计;组合逻辑电路的设计; 3.常用电路;常用电路;常用电路包括:常用电路包括: 1 .

4、编码器编码器 2.译码器译码器 3.数据选择器数据选择器 4.加法器加法器 5.数值比较器数值比较器4.组合电路中的竞争组合电路中的竞争冒险现象。冒险现象。绎阜学诈何则彤十瘦惰客锌估机懦筒天该圭佑舀敲蛤狱儒凤螟誓滨孜淆浓三章节组合逻辑电路三章节组合逻辑电路43.2 组合电路的分析方法和设计方法组合电路的分析方法和设计方法一、分析方法一、分析方法逻辑图逻辑图 函数式或真值表函数式或真值表方法:逐级写出逻辑方法:逐级写出逻辑函数式。函数式。C=AB=A B右图电路右图电路Z1=ABZ2=AZ1=A ABZ3=BZ1=B ABS=Z2 Z3 =A AB B AB玩儿占怎污泳邮磁两巾蚌涕叼娇文汪判韧铁

5、苯谢豺绷秘馅参慑诧逼些肿的三章节组合逻辑电路三章节组合逻辑电路5二二 设计方法设计方法逻辑功能逻辑功能 逻辑图逻辑图 真值表真值表 例:交通信号灯故障检例:交通信号灯故障检测电路。要求在非测电路。要求在非“只有一只有一只灯亮只灯亮”时给出出错信号。时给出出错信号。 为求真值表,首先进行逻辑抽象。用为求真值表,首先进行逻辑抽象。用R代表红、代表红、A代表黄、代表黄、G代表绿;用代表绿;用1表示灯亮,表示灯亮,“0”表示灯灭;用表示灯灭;用Z表示输出,且表示输出,且“1”表示有故障。表示有故障。函数式函数式解:解:1.真值表真值表步骤:步骤:1.真值表真值表2.函数式函数式3.逻辑图逻辑图摇掀休讼

6、氦租扬碗炬暖脊红陵两碟椒碘驻屁席兆肛屠俺盛投炳糙妄证访窘三章节组合逻辑电路三章节组合逻辑电路6得到真值表:得到真值表:R A G Z0 0 0 10 0 1 00 1 0 00 1 1 11 0 0 01 0 1 11 1 0 11 1 1 12.函数式函数式 注意:在用门电路(即注意:在用门电路(即SSI)实现时要注意:)实现时要注意: 第一,把函数式划成所用门要求的形式;第一,把函数式划成所用门要求的形式; 第二,要把函数式划成最简。为此,要尽量使第二,要把函数式划成最简。为此,要尽量使用卡诺图。用卡诺图。 1 1 0 10 1101 00R 1 1 1 1 AGZ = R A G + R

7、A + RG + AG得到函数式:得到函数式:若用与非门:若用与非门:髓黔砚够峙稿边勃畏涩宫樊扰恢存终棍欲腕军鲜恨瘟屁择胡头匙扑奶肋兜三章节组合逻辑电路三章节组合逻辑电路73.逻辑图:逻辑图:若用若用MSI来实现,要注意的问来实现,要注意的问题待讲到具体电路时再介绍。题待讲到具体电路时再介绍。吝矛为觉舆疥矣竞谐屎漫瑶丫亢爵片护斧咽碱妹拨约亭撤纱磅蜕研惶泰拧三章节组合逻辑电路三章节组合逻辑电路83.3 若干常用的组合逻辑电路若干常用的组合逻辑电路一、编码器(一、编码器(ENCODOR)用二值代码表示具体事物。用二值代码表示具体事物。 如:用如:用0101表示十进制数表示十进制数5。编码器分为编码

8、器分为普通编码器普通编码器和和优先编码器优先编码器。(一)普通编码器(一)普通编码器普通编码器任何时刻只允许普通编码器任何时刻只允许一个输入有效。一个输入有效。以以3位二进制编码器的位二进制编码器的设计设计为例为例:注意这个名称注意这个名称编码:编码:创捻脊撩宇溪砧吃锹翻孤磁浑毫娥磁薛肘削皖泻疾摧莽梨恿云午超囊专弗三章节组合逻辑电路三章节组合逻辑电路91.真真值表值表2.函数式函数式纷矣筑餐遭弟玻爽亲谜迪饭枚骚犀呕芹拄坦插临庸趋诣险归螟苦扣变峻剃三章节组合逻辑电路三章节组合逻辑电路103.逻辑图逻辑图特点:普通编码器为特点:普通编码器为“或或”逻辑关系。逻辑关系。(二)优先编码器(二)优先编码

9、器 优先编码器允许多个输入信号同时有效。设计时所有输入信优先编码器允许多个输入信号同时有效。设计时所有输入信号已按优先顺序排队。号已按优先顺序排队。 如:如:74LS148是是83线优先编码器。这次我们进行线优先编码器。这次我们进行“分析分析”。膛后衅芜擅键兵恨怀匀骤蒙即青砍彦埠落扣海状逃酬贪羊轨峨锄灶曝熄荷三章节组合逻辑电路三章节组合逻辑电路11YEX =YS S代表无输入信号代表无输入信号代表代表“有输入信号有输入信号”S是是“使能使能”信号信号 低电平有效低电平有效G1门是门是负逻辑负逻辑非门非门咆蚤略哼挣羚冰疽纳岩郑坪费性侧滚占滋银碳侵夜纠喳盲趁烘卵陛曲弯雀三章节组合逻辑电路三章节组合

10、逻辑电路12恃需振手陀张督玉锐并邱蚀形碌剧企舟邱女摆狙摄楔惧五紧韶薯屹仗浪更三章节组合逻辑电路三章节组合逻辑电路13编码器逻辑功能的扩展编码器逻辑功能的扩展例:用两片例:用两片74LS148接成接成164线优先编码器。线优先编码器。这样连接可保证这样连接可保证第第(1)片的输入信片的输入信号优先于第号优先于第(2)片片由于由于74LS148的输出无的输出无效时效时(S=1或无输入或无输入),其三个输出均为其三个输出均为“1”,故可用与非门故可用与非门将两片的相同输出端将两片的相同输出端组合组合.由于使用与非门,由于使用与非门,输出变为原码输出变为原码最高位由最高位由YEX给出给出抓劣胎教阉骚跟

11、跨靛论肋姑贞左刚各贿惕深便框辈仲泅覆洁字瓶效趋财夯三章节组合逻辑电路三章节组合逻辑电路14二二、译码器、译码器(一)二进制译码器(一)二进制译码器译码:将输入的二值代码转换成对应的高、低电平信号。因译码:将输入的二值代码转换成对应的高、低电平信号。因此,它是编码的反操作。此,它是编码的反操作。分类:分类: 二进制译码器二进制译码器 二二十进制译码器十进制译码器 显示译码器显示译码器特点:输入是一组二进制代码。特点:输入是一组二进制代码。例如:例如:设计设计三位二进制译码器。三位二进制译码器。第一步:真值表第一步:真值表共有三个输入、八个输出。共有三个输入、八个输出。注意这个名称注意这个名称逛险

12、杠淳惕乖去懒珐挞褂蔗雌种公漱辱斩校酥尝侄尽惕史帖硕测烯骂艘池三章节组合逻辑电路三章节组合逻辑电路15将输出部分的将输出部分的0与与1交换,交换,即为低电平有效即为低电平有效第二步:函数式第二步:函数式每一个输出对应一个最小项。故有:每一个输出对应一个最小项。故有:Yi = mi i = 0 7若低电平有效,可表示为:若低电平有效,可表示为: Yi = mi第三步:逻辑图第三步:逻辑图炊振床虐蜡沾柒吉顺轧库寂谭龄乞诱漳诞仇释淘埔拣旦下次醛盖栽厄晚怯三章节组合逻辑电路三章节组合逻辑电路1674LS138 简介:简介: YI = mi如如 Y7= A2 A1 A0返回返回20好撼票榜攀伙惦绸戳盏颓震

13、朵挂穗汪症壶蛆馁胶毡夏猾录纹夷像廊别障剁三章节组合逻辑电路三章节组合逻辑电路17译码器功能的扩展:译码器功能的扩展:例如,用例如,用74LS138实现实现416线译码器。线译码器。 很明显,要用很明显,要用2片片74LS138如果如果74LS138只有一个使能端只有一个使能端S,则需要接入一个则需要接入一个“12线译码器线译码器”。&D3F0F1糖荧暖槐欣油玛搽连财崩白骨寞脏署闯屡陌蔷白怯目枯痉李凌棠拷荧涩寒三章节组合逻辑电路三章节组合逻辑电路18(二)二(二)二十进制译码器十进制译码器功能:将输入的二功能:将输入的二十进制码(十进制码(BCD码)转换为代表码)转换为代表09这十个数的电平信号

14、。这十个数的电平信号。二二十进制码(十进制码(Binary Coded Decimal)多为四位码。常用代码见下表:多为四位码。常用代码见下表:8421码是用的最多的码是用的最多的BCD码。码。偏权码偏权码无权码无权码胜竟歼换喷刻瓮出榜线吼缆茅壮煌属忌姨汁姐脂秽扛洒庚畴劫宇取蔚狮唉三章节组合逻辑电路三章节组合逻辑电路19二二十进制译码器十进制译码器74LS42逻辑图如下(逻辑图如下(8421码):码):11011010010110100A3A2A1A0真值表真值表23Y9=A3A0澄犊融蒸脓坍歧锥莽谆矿陪皮饮精斌炊囚臣稼贝夯渝候坝拓淬说帐沃锡湃三章节组合逻辑电路三章节组合逻辑电路20 (三)(

15、三) 译码器的应用译码器的应用多路分配器多路分配器实现组合逻辑电路实现组合逻辑电路1.多路分配器多路分配器功能:将一路输入信号按要求送到多个输出端中的一个。功能:将一路输入信号按要求送到多个输出端中的一个。位置由控制信号决定位置由控制信号决定以以74LS138为例,它可作为为例,它可作为1-8线分配器:线分配器: 当当S1=1、S2=0 时,令时,令S3=I, A2A1A0=000 则则 Y0=IIO0O1O2O3控制信号控制信号憋笨订挡蚊罕裙蓄锡疹臼吻琶蛰逆姚署亥铁眺空焰卵轻鳃嘘便沧槽坞断剩三章节组合逻辑电路三章节组合逻辑电路212.实现组合逻辑电路实现组合逻辑电路将逻辑函数化成最小项之和;

16、将逻辑函数化成最小项之和;当译码器低电平有效时,就选与非门;当译码器低电平有效时,就选与非门;当译码器高电平有效时,就选或门。当译码器高电平有效时,就选或门。例:用译码器实现下述逻辑函数式描述的组合逻辑例:用译码器实现下述逻辑函数式描述的组合逻辑电路。(允许使用必要的门电路。)电路。(允许使用必要的门电路。) 原理:译码器可提供输入变量的全部最小项,因此可原理:译码器可提供输入变量的全部最小项,因此可以用它实现任何逻辑函数(要加必要的门电路)。以用它实现任何逻辑函数(要加必要的门电路)。方法:方法:碟惹国氛掏宛乾镭镇扰坛懂膛谴泊化芝度潘嘱酣诞沦息绦畴帚砒纤损军眯三章节组合逻辑电路三章节组合逻辑

17、电路22由于译码器输出低电由于译码器输出低电平有效,故选用与非平有效,故选用与非门门由于是三变量逻由于是三变量逻辑函数,故选用辑函数,故选用38线译码器线译码器拇拈伴错海领趣证喂敝炸胞相专拂昔屿垢邦署妖蘑还证舒棒芦成吩卓悉闪三章节组合逻辑电路三章节组合逻辑电路23(四)显示译码器(四)显示译码器1.七段字符显示器七段字符显示器 这种显示器可用多种发光器件构这种显示器可用多种发光器件构成。例如半导体发光二极管、液晶等。成。例如半导体发光二极管、液晶等。这里以发光二极管为例进行说明。这里以发光二极管为例进行说明。 半导体数码管半导体数码管BS201AD的外形图、的外形图、等效电路:等效电路:驱动电

18、路驱动电路共阳极接法共阳极接法共阴极接法共阴极接法VCCDRRTDVCC& 驱动信号驱动信号 可由门电路可由门电路提供:提供:叼笔搽览盆匆腮手倔坏议限辜莫咐克垣存尤听钓瑰叙彩仗就送阅迸疯唤闯三章节组合逻辑电路三章节组合逻辑电路242.BCD七段显示译码器七段显示译码器这里将其作为组合电路介绍它的这里将其作为组合电路介绍它的设计方法设计方法:a段段窟上养塌督颤魔哑抚惰遵稳峰讼根甜夕蒋缸取纹寂砾尹澎糯德肝源猾勾投三章节组合逻辑电路三章节组合逻辑电路25该译码器称为四该译码器称为四七线译码器。七线译码器。嵌诽提份炉自敲肮义杖啃躺羊孩幌沃狮媳闻跪撒妄拒佑筏寡壕雪溺锐涟军三章节组合逻辑电路三章节组合逻辑

19、电路26集成集成4-7线译码器线译码器7448简介简介灭零输入灭零输入试灯试灯可直接驱可直接驱动共阴极动共阴极数码管。数码管。熄灭输入熄灭输入/灭零输出灭零输出输出端结构输出端结构咳砸骑奈篓邢武驳奏逊汗悸广省纹了喷腮搪至喷辈牧茧饲忆浆态粗获废台三章节组合逻辑电路三章节组合逻辑电路270 . 0陕闸传密臀诵脯氧框悸配慈蔽携超钉丙虐考俗远嘴链浴湍戮仿砒挂踪涣欺三章节组合逻辑电路三章节组合逻辑电路28三、数据选择器三、数据选择器(一)工作原理(一)工作原理 从一组输入数据中选出某一个输出。也称为从一组输入数据中选出某一个输出。也称为多路选择器多路选择器、多路开关多路开关。输输 入入输输 出出位置由控

20、制位置由控制信号决定信号决定可称为可称为4选选1数据选择器数据选择器嫁鹿青莫蜂陆凸钻穷零蜀厌磊则讥恢寺砰洼昆衣赐愿伏暖蔡责痔嘘邀屋檄三章节组合逻辑电路三章节组合逻辑电路29这次我们以这次我们以4选选1数据选择器数据选择器为例进行为例进行分析。分析。S1A1 A0 Y1 0 0 1 0 0 D10 1 0 1 D11 1 1 0 D12 1 1 1 D13我们分析上半部分:我们分析上半部分:Y1=D10(A1A0)+D11(A1A0)+D12(A1A0)+D13(A1A0)S1留让痛厨滥丫湍习历集钻深困蛀纤坏讫雹阮估铂苍稗躺纵旗架蒂闺诌公碟三章节组合逻辑电路三章节组合逻辑电路30(二)功能扩展(

21、二)功能扩展例如:用双例如:用双4选选1数据选择器构成数据选择器构成8选选1数据选择器。数据选择器。1.根据输入端个数决定使用根据输入端个数决定使用4选选1数据选择器个数数据选择器个数M;2.再根据再根据1款中的款中的M值决定需用的值决定需用的译码器译码器的种类的种类X-M线译码器线译码器(M=2X);); 3.决定决定输出端输出端使用那种门使用那种门使能端无效时输出全为低,则选用使能端无效时输出全为低,则选用或门或门;使能端无效时输出全为高,则选用;使能端无效时输出全为高,则选用与门与门; 本例本例 M=2, X=1, 输出选或门输出选或门两个两个4选选1数数据选择器据选择器1-2线译线译码

22、器码器d0 d1 d2 d3 d4 d5 d6 d7Y11a0A1A0A2Y0S0 D0 D1 D2 D3 S1 D0 D1 D2 D3Y0Y1Za1a0a1弛午介咆烃丑为戌皆脂韵憎焉物抑雏撰缕渣扯蝶忱乒旬状尖耽弊填增奏童三章节组合逻辑电路三章节组合逻辑电路31(三三) 应用应用可用来设计组合逻辑电路可用来设计组合逻辑电路例如用四选一数据选择器实现异或逻辑:例如用四选一数据选择器实现异或逻辑: Z=A B +A BA A1, B A0, Z Y对比上两式得:对比上两式得:D0=D3=0 , D1=D2=1也可用真值表:也可用真值表: A(A1) B(A0) Z Y 0 0 0 D0 0 1 1

23、 D1 1 0 1 D2 1 1 0 D3可见数据选择器地址端的个数等于能实现的逻辑函数包可见数据选择器地址端的个数等于能实现的逻辑函数包含变量的个数。含变量的个数。D3A0A1BAZYD1D0D21S1. Z= A B + A B= A1 A0 + A1 A01. Z= A B + A B= A1 A0 + A1 A01. Z= A B + A B= A1 A0 + A1 A02.Y= A1A0 D0+A1A0D1+A1A0D2+A1A0D3D3A0A1BAZYD1D0D2S蝇虞汕衙络佐乐咀擒臆蛊悬搀帅脖谷锅辽砍闹窥蛊鲍懂驴悸要孕荐氰烷换三章节组合逻辑电路三章节组合逻辑电路32还可以实现变量

24、数比地址端数大还可以实现变量数比地址端数大1的逻辑函数。的逻辑函数。例如,用例如,用4选选1数据选择器实现交通灯判别电路。数据选择器实现交通灯判别电路。R A G Z0 0 0 10 0 1 00 1 0 00 1 1 11 0 0 01 0 1 11 1 0 11 1 1 1令令RA1, AA0, ZYYD0D1D2D3由右面的真值表可求出:由右面的真值表可求出:D0=GD1=D2=GD3=1ARZG11A0A1 S D0D1D2D3Y恼涛跌钙导航度助淘如殷片兵低孺奄竖犹酷坝戴怪屹紧舟脖对垒渍链绣吓三章节组合逻辑电路三章节组合逻辑电路33若用公式法,要将若用公式法,要将Z写成包含变量写成包含

25、变量R,A最小项的最小项的与与或或式。式。D0D1D3Z = Y = (RA)G + (RA)G + (RA)G + (RA) 1D2 1 0 1 1 + 0 1 1 1 1 1 1 1 0 0 1 0四四. 加法器加法器实现二进制数的加法运算。实现二进制数的加法运算。赁纱盼脾脓声绳荷欠宁宿莲欧蚂轨团叼闯之酵菠瑟晕住迹日戈穗褂始扮湘三章节组合逻辑电路三章节组合逻辑电路34(一)一位加法器(一)一位加法器1.半加器半加器 将将两个两个一位二进制数一位二进制数相加,给出相加,给出和数和数和和进位进位。SCOABCI 将将三个三个一位二进制数相一位二进制数相加,给出加,给出和数和数和和进位进位。2.

26、 全加器全加器礁想舀滤婴赞袄甫雇玫繁堵独秩楼戮乎无舜蹈漂时窑昔节庇赫疟呈捎触嘴三章节组合逻辑电路三章节组合逻辑电路35全加器的输出函数有多种形式,例如,在卡诺图中圈全加器的输出函数有多种形式,例如,在卡诺图中圈1:S = A B CIC0= AB + A CI + B CI勤垃怯茎椒胜宁矛僻绸镑测咀歉曝敌讽歉赊茧埂罚友狮找祝脂艳沏牺脂描三章节组合逻辑电路三章节组合逻辑电路36(二)多位加法器(二)多位加法器 1.串行进位加法器串行进位加法器特点:电路简单,但速度慢。特点:电路简单,但速度慢。2.超前进位加法器超前进位加法器思路:将各位的思路:将各位的CO均用输入的均用输入的AiBi 表示。表示

27、。S = A B CIC0= AB + A CI + B CICOi=AiBi + (Ai+Bi)CIiCIi = COi-1COi=AiBi + (Ai+Bi)COi-1递推下去就可将所有的递推下去就可将所有的COi和和Si都表示成都表示成Ai和和Bi的函数。的函数。嘲意婪闽渭取廷酗孕激全纽柱锁泳熏氖斟墅殊抱亨娘沼曾乘惺谍入烫耪彼三章节组合逻辑电路三章节组合逻辑电路374位超前进位加位超前进位加法器法器74LS283特特点:点:电路复杂;电路复杂;速度快速度快孪没厂氟奄霸羊骗鞍苞古绩机打勾段鳖浮据嘛维却临佃关屡浓抚菲篆志誊三章节组合逻辑电路三章节组合逻辑电路38(三)应用(三)应用设计组合电

28、路设计组合电路用在加用在加(减)某一常数的场合。(减)某一常数的场合。 例如:将例如:将8421-BCD码转码转换为换为 余余3码。码。 分析:只要在分析:只要在8421码上加码上加常数常数3即可。即可。加上加上3-0011输入输入8421码码输出余输出余3码码裂蘑烧下滩斧为舍辛赊烷蛀券喧删邮例西抄瘁歇柿耀缉尉节甩余圃老皖唐三章节组合逻辑电路三章节组合逻辑电路39Y(AB)= A BY(A=B)= A B A B(二)多位数值比较器(二)多位数值比较器4位位a3 a2 a1 a0 b3 b2 b1 b0从高位比起从高位比起I(AB)若只比较四若只比较四位,可使:位,可使:I(AB) =1。若增

29、加附加输入端若增加附加输入端I(AB),则函数式将增加为:则函数式将增加为: 逻辑图逻辑图奸岁藉沁郭衍促承唁防赘温川皆挖正炕鲍姥韦锑炬父汽迈嗓擅坤袄斯骏折三章节组合逻辑电路三章节组合逻辑电路40(三)逻辑功能的扩展(三)逻辑功能的扩展例如:用例如:用CC14585构成构成8位数值比较器。位数值比较器。 显然,需要显然,需要2片片CC14585。用片(。用片(1)比较低)比较低4位;片(位;片(2)比较高比较高4位。比较结果由片(位。比较结果由片(2)输出。)输出。 而高位比而高位比较器的扩展输较器的扩展输入端只要和低入端只要和低位的相应输出位的相应输出端相连即可。端相连即可。当然也可令当然也可

30、令 I(AB)=1。 对于片(对于片(1),前面通过分析),前面通过分析CC14585函数式已得出,只比较函数式已得出,只比较四位时应使四位时应使I(AB)=1。膀诡泣晨职冉印恶呐愁嘱荆隐敞研枢顽土扮课锌程瞳怠讽威肢湿牡种印盟三章节组合逻辑电路三章节组合逻辑电路413.4 组合逻辑电路中的竞争组合逻辑电路中的竞争冒险现象冒险现象一、竞争一、竞争冒险现象及其成因冒险现象及其成因 本节研究的是:当输入信号发生变化而输出信号还没完本节研究的是:当输入信号发生变化而输出信号还没完全稳定下来这段时间里电路的行为。全稳定下来这段时间里电路的行为。 波形图说明:当门电路的两个输入信号同时向相反的逻波形图说明

31、:当门电路的两个输入信号同时向相反的逻辑电平跳变(一个由辑电平跳变(一个由1 变为变为0,另一个由,另一个由0 变为变为1)时,输出端)时,输出端有可能有可能出现尖峰脉冲出现尖峰脉冲;当然,也可能不出现尖峰脉冲。当然,也可能不出现尖峰脉冲。 定义:定义:门电路的两个输入信号同时向相反的逻辑电平跳变门电路的两个输入信号同时向相反的逻辑电平跳变(一个由(一个由1 变为变为0,另一个由,另一个由0 变为变为1)的现象称为)的现象称为竞争竞争。 定义:定义:由于竞争在电路输出端可能产生尖峰脉冲的现象叫由于竞争在电路输出端可能产生尖峰脉冲的现象叫竞争竞争冒险冒险。二、检查竞争二、检查竞争冒险现象的方法冒

32、险现象的方法 原则:检查是否有这样的输出门,当电路的输入信号变原则:检查是否有这样的输出门,当电路的输入信号变化时,它的两个输入信号同时向相反的逻辑电平跳变。化时,它的两个输入信号同时向相反的逻辑电平跳变。多数要借助计多数要借助计算机辅助分析算机辅助分析以与门和或门为例:以与门和或门为例:您漂红诣渍拜阳荤药裕芜誊齿央享软眼蛛琵湍铸澳尝黑盏天此田郭槽支直三章节组合逻辑电路三章节组合逻辑电路42 波形图说明:当门电路的两个输入信号同时向相反的逻波形图说明:当门电路的两个输入信号同时向相反的逻辑电平跳变(一个由辑电平跳变(一个由1 变为变为0,另一个由,另一个由0 变为变为1)时,输出端)时,输出端

33、有可能有可能出现尖峰脉冲出现尖峰脉冲;当然,也可能不出现尖峰脉冲。当然,也可能不出现尖峰脉冲。尖峰脉冲尖峰脉冲 或或称称 毛刺毛刺tpd督铝那谁蒋颁械桅奎怨导叹跃帆阑燎辟沛膀烟顺材笛晾承愚写求前莱缸苔三章节组合逻辑电路三章节组合逻辑电路43以以2-4线译码器为例线译码器为例 它的四个输出门都是它的四个输出门都是2输入的。输入的。 在下面波形图给出的情况下,在下面波形图给出的情况下,Y0和和Y3端有尖峰脉冲出现。端有尖峰脉冲出现。撞写瘴蛤揭茶世浓慷抑踌膛袁瀑垣栗邦旷甫怂榜伦盔饿俐规钙膊蒙混肇斩三章节组合逻辑电路三章节组合逻辑电路44 在每次只有一个输入变量改变状态的情况下可通过逻辑函数式在每次只

34、有一个输入变量改变状态的情况下可通过逻辑函数式判别。判别。上图:上图:Y = AB + AC当当B=C=1时,时,Y = A + A (1)G4门符合判断条件,门符合判断条件,当当A由由1变变0时,时,有尖有尖峰脉冲出现。峰脉冲出现。上图:上图:Y =(A+B)(B+C)当当A=C=0时时Y= B B (2) G4门符合判断条件,门符合判断条件,当当B由由0变变1时,有尖时,有尖峰脉冲出现。峰脉冲出现。式式(1)、(2)可作为判别可作为判别式使用式使用窗惋哲铱牌欧井坐州给亦粟纬篱误娜缘露防骤戴讽绎蚜辆壹竣臼铆崇釜七三章节组合逻辑电路三章节组合逻辑电路45与与或式情况,用卡诺图判断更方便或式情况

35、,用卡诺图判断更方便,如:如: 1 1 1 1 1 010110100ABC例:例: Y=A C + BCD+ AB11111011111101110010110100ABCD公共边公共边 原则:与项原则:与项AB和和AC在卡诺图在卡诺图上有上有公共边公共边,定可化成,定可化成 Y= A +A 的的形式(当形式(当B=C=1时)。时)。B = C =0(A +A)A = C =D=1(B + B)A = 0, B = 1,D=1(C + C)Y = A B + A C 上图说明有三种情况会产生竞争上图说明有三种情况会产生竞争冒险。分别冒险。分别可化成可化成A+A、C+C、B+B。椰江碎羡耸喧抿

36、西晶剿胺滨尧庭沟泞疽斟免蔬姆鳃盖众景刊潍奢成恨辨咎三章节组合逻辑电路三章节组合逻辑电路46 尖峰脉冲有时会造成电路的逻尖峰脉冲有时会造成电路的逻辑错误。这时,必须设法消除之。辑错误。这时,必须设法消除之。三三 、消除竞争、消除竞争冒险的方法冒险的方法 消除的方法有三种:接入滤波电消除的方法有三种:接入滤波电容;引入选通脉冲;修改逻辑设计。容;引入选通脉冲;修改逻辑设计。1.接入滤波电容接入滤波电容ViVoRCViVo一般在几十至一般在几十至几百皮法几百皮法缺点:有用信号的边沿也变坏。缺点:有用信号的边沿也变坏。C与蛆眨肋类贞权怂箔拴信焊咏骚立砷爷睬校柿湾唯厄啊晚若不尚帕曹柯古三章节组合逻辑电路

37、三章节组合逻辑电路472. 引入选通脉冲引入选通脉冲缺点:有用信号将变成脉冲信号。缺点:有用信号将变成脉冲信号。3. 修改逻辑设计修改逻辑设计要点:增加冗余项。要点:增加冗余项。缺点:适用范围有限。缺点:适用范围有限。Y = AB + A C + BC本章完本章完卑酝凹嗓吕僵杀尝卉节犁套脑姨证帜妹炳沼咨吨铃赵勇氦借臂旨铝滞辣踩三章节组合逻辑电路三章节组合逻辑电路48题题3.5 设计一个代码转换电路,输入是设计一个代码转换电路,输入是4位二进制代码,输出为位二进制代码,输出为4位循环码。位循环码。第第1步:真值表步:真值表B3B2B1B0G3G2G1G0000000000001000100100

38、01100110010010001100101011101100101011101001000110010011101101011111011111011001010110110111110100111111000第第2步:函数式步:函数式111011111101110010110100111110111111010010110100B3B2B1B0G3= B3G0= B1 B0第第3步:逻辑图步:逻辑图解:解:111011111101110010110100G2= B3 B2G1= B2 B1=1=1=1B3B2B1B0G3G2G1G0军枪胆崇审琉键甥恃各顺襟跨刨蒲炳谩军舟副仲疮翻治獭婿桩瘫

39、蠕遗磐董三章节组合逻辑电路三章节组合逻辑电路49Z= C B AD+C BAD +C B A+ CB A D+CBAD+CB A D 题题3.14 分析图示电路,写出输出分析图示电路,写出输出Z的逻辑函数式。的逻辑函数式。CC4512为为8选选1数据选择器,它的逻辑功能表如下表。数据选择器,它的逻辑功能表如下表。解:解:1. 公式法公式法= C BD +CBA + CBD +C BA D2. K图法图法11101111101110010110100CBAD此项可用此项可用C A D代替代替= BD + CBA +BA D咽揪揉哎唬蜘巢斯违灾态袄徊椒物上笼兼线遥拌鳃奋呈百认期龄馈鄙艰凰三章节组合逻辑电路三章节组合逻辑电路50返回返回40刻诗寅毛厄迄魔缔傣府腑皂咆奸伤谈掳奇贮僻葱笺蚕嘎颐既暖垢硼苹射洛三章节组合逻辑电路三章节组合逻辑电路51输入伪码时无显示。若改为约输入伪码时无显示。若改为约束束项,则可化简。如项,则可化简。如Y9=A3A0返回返回19涸皂秀冰潜旺掐艘贴朗泽狸屉蔡牙彪桨五蒋跟抬技经页宜神瘸聊淡尝收锤三章节组合逻辑电路三章节组合逻辑电路52

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号