触发器的电路结构与工作原理ppt课件

上传人:壹****1 文档编号:570095086 上传时间:2024-08-01 格式:PPT 页数:91 大小:3.70MB
返回 下载 相关 举报
触发器的电路结构与工作原理ppt课件_第1页
第1页 / 共91页
触发器的电路结构与工作原理ppt课件_第2页
第2页 / 共91页
触发器的电路结构与工作原理ppt课件_第3页
第3页 / 共91页
触发器的电路结构与工作原理ppt课件_第4页
第4页 / 共91页
触发器的电路结构与工作原理ppt课件_第5页
第5页 / 共91页
点击查看更多>>
资源描述

《触发器的电路结构与工作原理ppt课件》由会员分享,可在线阅读,更多相关《触发器的电路结构与工作原理ppt课件(91页珍藏版)》请在金锄头文库上搜索。

1、5.1 触发器的电路结构与工作原理触发器的电路结构与工作原理 5.2 触发器的功能触发器的功能 1教学基本要求教学基本要求: :1、熟练掌握不同结构触发器的工作原、熟练掌握不同结构触发器的工作原理及其触发方式。理及其触发方式。2、熟练掌握不同功能的触发器的逻辑、熟练掌握不同功能的触发器的逻辑功能。功能。3、正确理解触发器的脉冲工作特性。、正确理解触发器的脉冲工作特性。21.从电路结构形式的角度从电路结构形式的角度,要求熟练掌握各触发器要求熟练掌握各触发器的动作特点,如果给出输入波形,要求能够正确的动作特点,如果给出输入波形,要求能够正确地画出输出波形。地画出输出波形。2.从逻辑功能的角度,从逻

2、辑功能的角度,要求熟练掌握各触发器的逻要求熟练掌握各触发器的逻辑符号(含义)、特性表、特性方程及状态转换辑符号(含义)、特性表、特性方程及状态转换图。同样,如果给出输入波形,要求能够正确地图。同样,如果给出输入波形,要求能够正确地画出输出波形。画出输出波形。教学重点教学重点: :33.建立起两个概念:建立起两个概念: 现态现态和和次态次态的概念;的概念; 触发器的触发器的逻辑功能逻辑功能和和电路结构电路结构并并没有没有固定固定的对应关系。的对应关系。教学重点教学重点: :4现态:触发器接收输入信号之现态:触发器接收输入信号之前前的状态,叫做现态,的状态,叫做现态,用用Q Qn n表示表示 。次

3、态:触发器接收输入信号之次态:触发器接收输入信号之后后的状态,叫做次态,的状态,叫做次态,用用Q Qn+1n+1 表示。表示。 触发器次态输出触发器次态输出Q Qn+1n+1与现态与现态Q Qn n和和输入信号输入信号之间的之间的逻辑关系,是贯穿本章始终的基本问题。如何获得、逻辑关系,是贯穿本章始终的基本问题。如何获得、描述和理解这种逻辑关系,是本章学习的中心任务。描述和理解这种逻辑关系,是本章学习的中心任务。55.1 触发器的电路结构与工作原理触发器的电路结构与工作原理 5.2 集成触发器集成触发器5.3 触发器功能的转换触发器功能的转换5.4 触发器触发器“记忆记忆”功能的举功能的举例例本

4、章讲授思路:本章讲授思路:61、时序逻辑电路:数字电路中除组合逻辑电时序逻辑电路:数字电路中除组合逻辑电路外,还包括另一类具有记忆功能的电路路外,还包括另一类具有记忆功能的电路-时序逻辑电路时序逻辑电路。 时序逻辑电路任意时刻的输出状态时序逻辑电路任意时刻的输出状态不仅与不仅与该当前的输入信号该当前的输入信号有关,而且有关,而且与此前电路与此前电路的状的状态有关。态有关。 2、触发器触发器:触发器是构成时序逻辑电路的触发器是构成时序逻辑电路的基本逻辑基本逻辑单元单元 。 概概 述述 能够存储能够存储一位一位二值信息的基本单元电路二值信息的基本单元电路7基本概念基本概念触发器特点:触发器特点:1

5、.1.具有两个能够具有两个能够自行保持自行保持的稳定状态,用来表示逻辑状态的稳定状态,用来表示逻辑状态 0 0 和和 1 12.2.根据不同的输入信号,可将输出置成根据不同的输入信号,可将输出置成 0 0 或或 1 1 。3.3.输入信号消失后,能将获得的状态输入信号消失后,能将获得的状态保存保存下来。下来。 重点:重点:触发器外部触发器外部逻辑功能逻辑功能、触发方式触发方式。 我们在学习过程中,只需了解各种触发器的基本工作原理,但我们在学习过程中,只需了解各种触发器的基本工作原理,但要要重点掌握它们的逻辑功能重点掌握它们的逻辑功能,以便能正确使用它们。,以便能正确使用它们。 8 3、触发器的

6、分类、触发器的分类 按电路结构分:按电路结构分:基本基本RS触发器触发器同步同步RS触发器触发器主从触发器主从触发器边沿触发器边沿触发器按逻辑特性分:按逻辑特性分:RS触发器触发器:置置0、置、置1、保持、不定、保持、不定JK触发器:触发器:置置0、置、置1、计数、保持、计数、保持D触发器:触发器:置置0、置、置1T触发器:触发器:计数、保持计数、保持时钟触发器时钟触发器 概概 述述 95.1 触发器的电路结构与工作原理触发器的电路结构与工作原理5.1.1 基本基本 RS 触发器触发器5.1.2 同步同步RS触发器触发器5.1.3 主从触发器主从触发器5.1.4 边沿触发器边沿触发器105.1

7、.1 基本基本RS触发器触发器反馈反馈输入端输入端输出端输出端由两个与非门组成由两个与非门组成逻辑符号逻辑符号 1. 电路结构与逻辑符号电路结构与逻辑符号各种触发器各种触发器各种触发器各种触发器组成的基本组成的基本组成的基本组成的基本单元电路单元电路单元电路单元电路 触发器由逻辑门加反馈电路构成,电路有触发器由逻辑门加反馈电路构成,电路有两个互补两个互补的的 输出端输出端Q和和 , ,其中其中Q的状态称为触发器的状态。的状态称为触发器的状态。11 2、工作原理、工作原理1) 无有效电平输入(无有效电平输入(S=R=1)时,触发器保持稳定状态不变)时,触发器保持稳定状态不变 1 11 1若初态若

8、初态Qn = 1若初态若初态 Qn = 01 10 01 10 01 10 01 11 15.1.1 基本基本RS触发器触发器 触发器触发器触发器触发器保持原有状保持原有状保持原有状保持原有状态不变态不变态不变态不变,即,即,即,即原来的状态原来的状态原来的状态原来的状态被触发器存被触发器存被触发器存被触发器存储起来,这储起来,这储起来,这储起来,这体现了触发体现了触发体现了触发体现了触发器具有记忆器具有记忆器具有记忆器具有记忆能力。能力。能力。能力。12 2) 在有效电平作用下(在有效电平作用下(S=0、R=1) ,无论初态,无论初态Q n为为0或或1,触发器都会转变为触发器都会转变为1态。

9、态。 0 01 1若初态若初态Qn = 1若初态若初态Q Qn n = 0= 01 10 01 10 01 10 01 11 10 05.1.1 基本基本RS触发器触发器 2、工作原理、工作原理 这种情这种情这种情这种情况称将触发况称将触发况称将触发况称将触发器器器器置置置置1 1 1 1或置或置或置或置位位位位。S S S S端端端端称称称称为触发器的为触发器的为触发器的为触发器的置置置置1 1 1 1端或置端或置端或置端或置位端位端位端位端。13 3) 在有效电平作用下(在有效电平作用下( S=1、R=0 ),无论初态),无论初态Q n为为0或或1,触发器都会转变为,触发器都会转变为0态。

10、态。1 10 0初态初态Qn = xx x1 10 05.1.1 基本基本RS触发器触发器 这种情况这种情况这种情况这种情况称将触发器称将触发器称将触发器称将触发器置置置置0 0 0 0或复位或复位或复位或复位。R R R R端端端端称为触发器的称为触发器的称为触发器的称为触发器的置置置置0 0 0 0端或复位端或复位端或复位端或复位端端端端。 2、工作原理、工作原理14 4) 4) 当(当( S=0S=0、R=0 R=0 )时,无论初态)时,无论初态Q Q n n为为0 0或或1 1,触发器状态不定。,触发器状态不定。 0 00 0初态初态Qn = x1 11 15.1.1 基本基本RS触发

11、器触发器 R=0R=0、S=0S=0时:时:Q=Q=1Q=Q=1,不符合触发器的,不符合触发器的逻辑关系。并且由于与非门延迟时间不可逻辑关系。并且由于与非门延迟时间不可能完全相等,在两输入端的能完全相等,在两输入端的0 0同时撤除后,同时撤除后,将不能确定触发器是处于将不能确定触发器是处于1 1状态还是状态还是0 0状态。状态。所以触发器所以触发器不允许出现这种情况不允许出现这种情况不允许出现这种情况不允许出现这种情况,这就是这就是基本基本RSRS触发器的触发器的约束条件约束条件约束条件约束条件。此状态为不定此状态为不定状态。为避免不定状态,对输入信号应加状态。为避免不定状态,对输入信号应加S

12、 S+ +R R=1=1的约束条件。的约束条件。 2、工作原理、工作原理153、触发方式、触发方式0 11 01 0置置1 1端端置置0 0端端基本触发器的触发方式属基本触发器的触发方式属电平电平触发。触发。 5.1.1 基本基本RS触发器触发器16S R 1 1 0 0 1 1 1 1 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 0 0 0 0 不定不定 0 0 1 不定不定 4、逻辑功能、逻辑功能逻辑功能表逻辑功能表R+S=1保持保持置置1 1置零置零不定不定5.1.1 基本基本RS触发器触发器 触发器的新状态触发器的新状态Q Qn+1n+1(也称次态)不仅与(也称次态)不

13、仅与输入状态输入状态有关,也有关,也与触发器与触发器原来原来的状态的状态Q Qn n(也称现态或初态)有关。(也称现态或初态)有关。175.1.1 基本基本RS触发器触发器特点:特点: 有两个互补的输出端,有两个稳态。有两个互补的输出端,有两个稳态。 有有复位复位(Q=0)、)、置位置位(Q=1)、)、保持原状态保持原状态三种功能。三种功能。 R为复位输入端,为复位输入端,S为置位输入端,该电路为为置位输入端,该电路为低低电平有效。电平有效。 由由于于反反馈馈线线的的存存在在,无无论论是是复复位位还还是是置置位位,有有效效信信号号只只须须作用很短的一段时间。即作用很短的一段时间。即“一触即发一

14、触即发”。4、逻辑功能、逻辑功能18画工作波形的方法:画工作波形的方法: 1. 根据触发器动作特征确定状态变化的时刻;根据触发器动作特征确定状态变化的时刻; 2. 根据触发器的逻辑功能确定根据触发器的逻辑功能确定Qn+1。 0 01 11 11 10 01 11 11 10 01 11 11 10 01 11 11 10 00 0不不定定 不变不变 不定不定 置置1 1 不变不变 置置1 1 不变不变 置置0 0 不变不变 工作波形能直观地表示其输入信号与输出的时序关系。工作波形能直观地表示其输入信号与输出的时序关系。 5.1.1 基本基本RS触发器触发器4、逻辑功能、逻辑功能195.1.1

15、基本基本RS触发器触发器5.5.用用或非门或非门实现的基本实现的基本RSRS触发器触发器(a a)逻辑图)逻辑图SRQG1G2111QSR(b b)逻辑符号)逻辑符号输输入入高高电电平平有有效效由逻辑图可得逻辑表达式为:由逻辑图可得逻辑表达式为: 综上所述,基本综上所述,基本RSRS触发器具有复位(触发器具有复位(Q=0Q=0)、置位)、置位(Q=1Q=1)、保持原状态三种功能,)、保持原状态三种功能,R R为复位输入端,为复位输入端,S S为置为置位输入端,可以是位输入端,可以是低低电平有效,也可以是电平有效,也可以是高高电平有效,取电平有效,取决于触发器的决于触发器的结构结构。206、应用

16、举例、应用举例例例1 用基本用基本RS触发器触发器和与非门构成四位二进制和与非门构成四位二进制数码寄存器。数码寄存器。高电平有效高电平有效低电平有效低电平有效5.1.1 基本基本RS触发器触发器数码数码输入输入数码数码输出输出置数控制置数控制(LD)清零输入清零输入(Cr)21工作原理:工作原理:第一步:清零过程第一步:清零过程0 00 0 1 1不变不变 置置0 0 S=1R=0S=1R=11 11 1 1 1 0 0 0 0 R=1置置1 1 Qi=1不变不变 Qi=0第二步:置数过程第二步:置数过程Qi=DiD3D2D1D0S=Di当当S=Di=0当当S=Di=11 10 01 1 1

17、1 不变不变 S=1R=1保保 持持 为为 0置置 数数 前前 先先 清清 零零5.1.1 基本基本RS触发器触发器22 例例2 2 消除机械开关振动引消除机械开关振动引起的抖动现象起的抖动现象 SRS接接BS 接接A 悬空时间悬空时间S接接A振动振动 S悬空时间悬空时间接接 B振动振动SR5.1.1 基本基本RS触发器触发器23基本基本RSRS触发器存在的问题:触发器存在的问题:由与非门组成的基本由与非门组成的基本RS触发器可以实现触发器可以实现记忆元件记忆元件的功能,但是当的功能,但是当RS端从端从“00”变化到变化到“11”时,时,触发器的下一个状态不能确定,在使用中要加以触发器的下一个

18、状态不能确定,在使用中要加以约束约束,给使用带来不便。,给使用带来不便。由由或非或非门组成的基本门组成的基本RS触发器同样存在这一问题。触发器同样存在这一问题。因此,要对触发器的输入加以控制。因此,要对触发器的输入加以控制。实际应用的触发器是实际应用的触发器是实际应用的触发器是实际应用的触发器是电平型电平型电平型电平型或或或或脉冲型触发器脉冲型触发器脉冲型触发器脉冲型触发器,电,电,电,电路的抗干扰能力差。路的抗干扰能力差。路的抗干扰能力差。路的抗干扰能力差。5.1.1 基本基本RS触发器触发器245.1.2 同步同步RS触发器触发器 在实际应用中,触发器的工作状态在实际应用中,触发器的工作状

19、态不仅不仅要要由由R、S端的信号来决定,而且还希望触发器按端的信号来决定,而且还希望触发器按一定的一定的节拍节拍翻转。为此,给触发器加一个时钟翻转。为此,给触发器加一个时钟控制端控制端CP,只有在,只有在CP端上端上出现出现时钟脉冲时,时钟脉冲时,触发器的状态触发器的状态才才能变化。具有时钟脉冲控制的能变化。具有时钟脉冲控制的触发器状态的触发器状态的改变改变与时钟脉冲与时钟脉冲同步同步,所以称为,所以称为同步触发器同步触发器。255.1.2 同步同步RS触发器触发器逻辑符号逻辑符号 电路结构电路结构1、电路结构及逻辑符号、电路结构及逻辑符号电路结构:由电路结构:由基本基本RS触发器触发器和和时

20、钟脉冲控制门电路时钟脉冲控制门电路组成。组成。 基本基本基本基本RSRSRSRS触发器触发器触发器触发器输入控制电路输入控制电路输入控制电路输入控制电路262、工作原理、工作原理 S=0,R=0:Qn+1=Qn S=1,R=0:Qn+1=1 S=0,R=1:Qn+1=0 S=1,R=1:Qn+1= CP=1: CP=0:状态不变:状态不变0 0 1 1& & & & 3、触发方式:、触发方式:为时钟为时钟高电平高电平触发方式。触发方式。 状态发生变化。状态发生变化。 5.1.2 同步同步RS触发器触发器 同同步步RSRS触触发发器器的的状状态态转转换换分分别别由由R R、S S和和CPCP控控

21、制制,其其中中,R R、S S控制状态转换的控制状态转换的方向方向;CPCP控制状态转换的控制状态转换的时刻时刻。273、 触发器功能的几种表示方法触发器功能的几种表示方法1) 逻辑功能表逻辑功能表 (CP=1)S R Qn Qn+1 说说 明明 0 0 0 0 0 1 状态不变状态不变 0 0 1 1 0 1 状态同状态同S S 1 1 0 0 0 1 状态同状态同S S1 1 1 1 0 1 状态不定状态不定 1 1 1 5.1.2 同步同步RS触发器触发器-触发器功能的几种表示方法触发器功能的几种表示方法28RS 触发器次态卡诺图触发器次态卡诺图 2) 特性方程特性方程 (约束条件)(约

22、束条件)5.1.2 同步同步RS触发器触发器-触发器功能的几种表示方法触发器功能的几种表示方法 触发器触发器次态次态Qn+1与输入与输入状态状态R、S及现态及现态Qn之间关之间关系的系的逻辑表达式逻辑表达式称为触发称为触发器的特性方程。器的特性方程。29 3) 状态转换图状态转换图 1 0 S=0 R=1 S=1 R=0 S=x R=0 S=0 R=x 逻辑功能表逻辑功能表 S R Qn Qn+1 说说 明明 0 0 0 0 0 1 状态不变状态不变 0 0 1 1 0 1 状态同状态同S 1 1 0 0 0 1 状态同状态同S1 1 1 1 0 1 状态不定状态不定 1 1 1 任何电路结构

23、的任何电路结构的任何电路结构的任何电路结构的 RSRS触发器都有与此相同的功触发器都有与此相同的功触发器都有与此相同的功触发器都有与此相同的功能表、特性方程及状态转换图。能表、特性方程及状态转换图。能表、特性方程及状态转换图。能表、特性方程及状态转换图。 5.1.2 同步同步RS触发器触发器-触发器功能的几种表示方法触发器功能的几种表示方法 状态转换图表示触发器从一个状态变化到另一个状态或保持状态转换图表示触发器从一个状态变化到另一个状态或保持原状不变时,对输入信号的要求。原状不变时,对输入信号的要求。305)波形图:触发器的功能也可以用输入输出)波形图:触发器的功能也可以用输入输出波形图直观

24、地表示出来波形图直观地表示出来 SRQn+1 00Qn 010 101 11 同步同步RS触发器真值表触发器真值表在在CP为低电平期间,触发器的状态不变。为低电平期间,触发器的状态不变。 在在CP为高电平期间,为高电平期间,R、S信号影响触发器的状态。信号影响触发器的状态。5.1.2 同步同步RS触发器触发器-触发器功能的几种表示方法触发器功能的几种表示方法324 4、时序电路分析举例、时序电路分析举例例例1 同步同步RS触发器及逻辑门组成的时序电路及输入触发器及逻辑门组成的时序电路及输入CP、D端波形如图所示,设触发器初态为端波形如图所示,设触发器初态为0,试画出触发器,试画出触发器Q 端的

25、端的输出电压波形。输出电压波形。同步同步D锁存器(或称锁存器(或称双稳态锁存器双稳态锁存器),),适用于单端输入信号的场合。适用于单端输入信号的场合。解:同步解:同步RS触发器触发器S=D,R= D, 电路只有置电路只有置0、置、置1两种逻两种逻辑功能。辑功能。 S(R)5.1.2 同步同步RS触发器触发器335.5.同步同步RSRS触发器存在的问题:触发器存在的问题: 在在一一个个时时钟钟周周期期的的整整个个高高电电平平期期间间或或整整个个低低电电平平期期间间都都能能接接收收输输入入信信号号并并改改变变状状态态的的触触发发方方式式称称为为电电平平触触发发。由由此此引引起起的的在在一一个个时时

26、钟钟脉脉冲冲周周期期中中,触触发发器器发发生生多多次次翻翻转转的的现现象象叫叫做做空空翻翻。空空翻翻是是一一种种有有害害的的现现象象,它它使使得得时时序序电电路路不不能能按按时时钟钟节节拍拍工工作作,造造成成系系统统的的误误动作。动作。5.1.2 同步同步RS触发器触发器 造成空翻现象的原因是同步触发器造成空翻现象的原因是同步触发器结构结构的不的不完善,下面将讨论的几种无空翻的触发器,都是完善,下面将讨论的几种无空翻的触发器,都是从从结构结构上采取措施,从而克服了空翻现象。上采取措施,从而克服了空翻现象。34S CP R G 8 G 7 G 9 G 5 G 6 & & & & & & & &

27、1 Q Q G 3 G 1 G 2 G 4 (1)电路结构)电路结构和逻辑符号和逻辑符号 主触发器主触发器 从触发器从触发器 Q Q 1 S C1 1R Q Q 逻辑符号逻辑符号 1、由两个同步、由两个同步RS触发器组成的主从触发器触发器组成的主从触发器 5.1.3 主从触发器主从触发器“”表示表示“延迟输出延迟输出”直接接收直接接收输入信号输入信号 接收主触发器接收主触发器的输出信号的输出信号36当当CP=1=1时:时:CP=11110Q和和Q的状态由的状态由S和和R决定决定G7被打开被打开G8被打开被打开11Q和和Q的状的状态保持不变态保持不变5.1.3 5.1.3 主从触发器主从触发器(

28、2)工作原理(内部原理分析)工作原理(内部原理分析)37(2)工作原理)工作原理1S1S1R1RC1C11S1S1R1RC1C11 1S SR RCPCP主触发器主触发器从触发器从触发器v 当当CP=1CP=1时时 两个同步两个同步RSRS触发器都是在触发器都是在CP=1CP=1时有效。时有效。主主触发器根据触发器根据S S和和R R的状态的状态翻转翻转,而而从从触发器保触发器保持原来的状态持原来的状态不变不变。Q Q和和Q Q的状态由的状态由S S和和R R决定决定1 10 0有效电平有效电平无效电平无效电平5.1.3 5.1.3 主从触发器主从触发器Q Q和和Q Q的状态的状态保持不变保持

29、不变381S1S1R1RC1C11S1S1R1RC1C11 1S SR RCPCP主触发器主触发器从触发器从触发器v 当当CPCP由由1 1变变0 0时时无论无论S S、R R的状态的状态如何如何改变改变,主主触触发器的状态发器的状态不再不再改变。而此时改变。而此时从从触发器触发器按照与主按照与主触发器触发器相同相同的状的状态态翻转翻转。1 1000 011无效电平无效电平有效电平有效电平5.1.3 主从触发器主从触发器(2)工作原理)工作原理Q Q和和Q Q的状态的状态按按Q Q和和Q Q的的状态翻转状态翻转Q Q和和Q Q的状态不变的状态不变391S1S1R1RC1C11S1S1R1RC1

30、C11 1S SR RCPCP主触发器主触发器从触发器从触发器v 当当CP=0CP=0时时 主主触发器被触发器被封封锁锁,其状态保持,其状态保持不变不变;从从触发器触发器状态因受主触发状态因受主触发器状态影响,此器状态影响,此时主触发器状态时主触发器状态不变,故从触发不变,故从触发器状态也不会再器状态也不会再变。变。0 0无效电平无效电平1 1有效电平有效电平Q Q和和Q Q的状态不变的状态不变Q Q和和Q Q的状态的状态也不变也不变5.1.3 5.1.3 主从触发器主从触发器(2)工作原理)工作原理40(3 3)RS 触发器功能分析触发器功能分析 1) 1) 特性方程特性方程 (约束条件)(

31、约束条件)2) 2) 逻辑功能表逻辑功能表 状态不定状态不定 0 1 1 1 1 1 状态同状态同S S 0 1 0 0 1 1 状态同状态同R R 0 1 1 1 0 0 状态不变状态不变 0 1 0 0 0 0 说说 明明 Qn+1 Qn R S 1 1 1 3) 3) 状态转换图状态转换图 S=0 R=1 S=1 R=0 S=x R=0 S=0 R=x 5.1.3 主从触发器主从触发器41(4 4)电路特点)电路特点主主从从RSRS触触发发器器采采用用主主从从控控制制结结构构,从从根根本本上上解解决决了了输输入信号直接控制的问题。入信号直接控制的问题。 具有具有CPCP1 1期间接收输入

32、信号,期间接收输入信号,CPCP下降沿到来时触发翻转的下降沿到来时触发翻转的特点。在特点。在CPCP的一个变化周期中触发器的一个变化周期中触发器输出端的输出端的状态只可能改变状态只可能改变一次一次。主主从从触触发发器器的的翻翻转转是是在在CPCP由由1 1变变0 0时时刻刻(CPCP下下降降沿沿)发发生生的的,CPCP一一旦旦变变为为0 0后后,主主触触发发器器被被封封锁锁,其其状状态态不不再再受受R R、S S影影响响,故故主主从从触触发发器器对对输输入入信信号号的的敏敏感感时时间间大大大大缩缩短短,只只在在CPCP由由1 1变变0 0的的时时刻刻触触发发翻翻转转,因因此此不不会会有有空翻空

33、翻现象。现象。仍仍然然存存在在着着约约束束问问题题。即即在在CPCP1 1期期间间,输输入入信信号号R R和和S S不不能能同时为同时为1 1。5.1.3 主从触发器主从触发器425.1.3 主从触发器主从触发器 RS RS触发器的特性方程中有一触发器的特性方程中有一约束约束条件条件SR=0SR=0,即,即在工作时,不允许输入信号在工作时,不允许输入信号R R、S S同时同时为为1 1。这一约束。这一约束条件使得条件使得RSRS触发器在使用时,有时感觉不方便。如触发器在使用时,有时感觉不方便。如何解决这一问题呢?我们注意到,触发器的两个输何解决这一问题呢?我们注意到,触发器的两个输出端出端Q

34、Q、 在正常工作时是互补的,即一个为在正常工作时是互补的,即一个为1 1,另一个一定为另一个一定为0 0。因此,如果把这两个信号通过两根。因此,如果把这两个信号通过两根反馈线分别引到输入端的反馈线分别引到输入端的G7G7、G8G8门,就一定有一个门,就一定有一个门被封锁,这时,就不怕输入信号同时为门被封锁,这时,就不怕输入信号同时为1 1了。这就了。这就是主从是主从JKJK触发器的触发器的构成思路构成思路。 2、主从、主从 JK 触发器触发器 43S CP R G 8 G 7 G 9 G 5 G 6 & & & & & & & & 1 Q Q G 3 G 1 G 2 G 4 电路结构电路结构5

35、.1.3 主从触发器主从触发器J JKK44主从主从RSRS触发器触发器 S SR R(2 2) 主从主从JKJK触发器的功能分析触发器的功能分析电路结构电路结构1 1)逻辑功能:特性方程)逻辑功能:特性方程R = KQ 将将代入上式,得到代入上式,得到JK触发器的特性方程:触发器的特性方程:5.1.3 主从触发器主从触发器453 3)JK JK 触发器的状态转换图触发器的状态转换图J K Qn Qn+1 说说 明明 0 0 0 0 0 1 状状态不不变 0 0 1 1 0 1 置置 01 1 0 0 0 1 置置 1 1 1 1 1 0 1 翻翻 转转 1 1 1 2 2)JK JK 触发器

36、的功能表触发器的功能表 Qn0 1 J=X K=1 J=1 K=X J=X K=0J=0 K=X 任何结构的任何结构的JKJK触发器都具有与以上相同的触发器都具有与以上相同的功能表功能表、特性方程特性方程及及状态转换图状态转换图。 JKQn+1 00Qn 010 101 11Q Qn n (2 2)功能分析)功能分析5.1.3 主从触发器主从触发器46(3 3)工作波形)工作波形 JKQn+1 00Qn 010 101 11Q Qn n JKJK触发器真值表触发器真值表已知已知CPCP、J J、K K 信号的波形,触发器的初信号的波形,触发器的初态为态为0 ,0 ,画出输出端画出输出端Q Q的

37、工作波形。的工作波形。低电平触发低电平触发在高电平处接收输入信号在高电平处接收输入信号在在CPCP脉冲的高电平期间将输入脉冲的高电平期间将输入信号存储于主触发器。信号存储于主触发器。在在CPCP脉冲的低电平到脉冲的低电平到来时发生状态变化。来时发生状态变化。5.1.3 主从触发器主从触发器在画主从触发器的波形图时,应注意以下两点:在画主从触发器的波形图时,应注意以下两点: (1 1)触发器的触发翻转发生在时钟脉冲的)触发器的触发翻转发生在时钟脉冲的触发沿触发沿(这里(这里是下降沿)。是下降沿)。 (2 2)在)在CP=1CP=1期间,如果期间,如果输入信号输入信号的状态的状态没有没有改变,判断

38、改变,判断触发器触发器次态次态的依据是时钟脉冲下降沿的依据是时钟脉冲下降沿前前一瞬间一瞬间输入端的输入端的状态。状态。47例例1 主从主从JK 触发器的输入信号触发器的输入信号CP、D 的波形分别如图所示,的波形分别如图所示,设触发器的初态为设触发器的初态为1,试画出输出端,试画出输出端 L 的波形。的波形。 CP D L Q Q JKQn+1 00Qn 010 101 11Qn JK 触发器真值表触发器真值表J(K)解:解:J=D,K= D, 只有置只有置0和置和置1两种功能。两种功能。 在在CP脉冲的低电平期间将输入脉冲的低电平期间将输入信号存储于主触发器。信号存储于主触发器。在在CP脉冲

39、的高电平到脉冲的高电平到来时发生状态变化。来时发生状态变化。高电平触发高电平触发5.1.3、主从、主从JK 触发器触发器 48(a a) C1 J CP 1J 1K Q Q K 5.1.3 主从主从JK 触发器存在的问题触发器存在的问题问题:一次变化问题:一次变化 主从主从JK触发器如图(触发器如图(a)所示,设初始状态为)所示,设初始状态为0,已,已知输入知输入J、K的波形图如图(的波形图如图(b),画出输出),画出输出Q的波形图。的波形图。CPJKQ=0图(图(b) b) 主从主从JKJK触发器的一次变化波形触发器的一次变化波形545.1.3 主从主从JK 触发器存在的问题触发器存在的问题

40、 由由此此看看出出,主主从从JKJK触触发发器器在在CP=1CP=1期期间间,主主触触发发器器只只变变化化(翻翻转转)一一次次,这这种种现现象象称称为为一一次次变变化化现现象象。一一次次变变化化现现象象也也是是一一种种有有害害的的现现象象,如如果果在在CP=1CP=1期期间间,输输入入端端出出现现干干扰扰信信号号,就就可可能能造造成成触触发发器器的的误误动动作作。为为了了避避免免发发生生一一次次变变化化现现象象,在在使使用用主从主从JKJK触发器时,要保证在触发器时,要保证在CP=1CP=1期间,期间,J J、K K保持状态不变。保持状态不变。 要要解解决决一一次次变变化化问问题题,仍仍应应从

41、从电电路路结结构构上上入入手手,让让触触发发器器只只接接收收CPCP触触发发沿沿到到来来前前一一瞬瞬间间的的输输入入信信号号。这这种种触触发发器器称称为为边边沿沿触发器触发器。CPJKQ=0图(图(b)b)主从主从JKJK触发器的一次变化波形触发器的一次变化波形555.1.4 5.1.4 边沿触发器边沿触发器 边沿触发器不仅将触发器的边沿触发器不仅将触发器的触发翻转触发翻转控制控制在在CPCP触发沿到来的一瞬间,而且将触发沿到来的一瞬间,而且将接收输入信接收输入信号号的时间也控制在的时间也控制在CPCP触发沿到来的触发沿到来的前一瞬间前一瞬间。因此,边沿触发器既没有因此,边沿触发器既没有空翻空

42、翻现象,也没有现象,也没有一一次变化次变化问题,从而大大提高了触发器工作的可问题,从而大大提高了触发器工作的可靠性和抗干扰能力。靠性和抗干扰能力。56 D & & & & & & G5 G6 G3 G4 G1 G2 Q Q CP RS5.1.4 5.1.4 边沿触发器边沿触发器 1 1、电路结构和逻辑符号、电路结构和逻辑符号 一、一、 维持维持- -阻塞阻塞D D触发器触发器 同步同步RSRS触发器的基础上,再加两个门触发器的基础上,再加两个门G5G5、G6G6,将输入信号,将输入信号D D变成互补的两个信号分别送给变成互补的两个信号分别送给R R、S S端,即端,即R= R= ,S=DS=D

43、,就构,就构成了成了同步同步D D触发器触发器。很容易验证,该电路满足。很容易验证,该电路满足D D触发器的逻辑触发器的逻辑功能,但有同步触发器的功能,但有同步触发器的空翻空翻现象。现象。 57D & & & & & & G5 G6 G3 G4 G1 G2 Q Q CP RS5.1.4 5.1.4 边沿触发器边沿触发器 1 1、电路结构和逻辑符号、电路结构和逻辑符号 一、一、 维持维持- -阻塞阻塞D D触发器触发器 为了克服空翻,并具有边沿触发器的特性,在下图电路为了克服空翻,并具有边沿触发器的特性,在下图电路的基础上引入三根反馈线的基础上引入三根反馈线L1L1、L2L2、L3L3。L3L2

44、L1585.1.4 5.1.4 边沿触发器边沿触发器 1 1、电路结构和逻辑符号、电路结构和逻辑符号 逻辑图逻辑图逻辑符号逻辑符号1 10 0S SD D、R RD D分别为分别为直接直接置置1 1和置和置0 0 信号,低电平有效。信号,低电平有效。 基本RS触发器一、一、 维持维持- -阻塞阻塞D D触发器触发器 595.1.4 5.1.4 边沿触发器边沿触发器 1 1、电路结构和逻辑符号、电路结构和逻辑符号 逻辑图逻辑图逻辑符号逻辑符号预预 置置 端端清清 零零 端端1 10 01 10 00 01 11 11 11 11 11 11 11 10 0S SD D、R RD D分别为直接置分

45、别为直接置1 1和置和置0 0 信号,低电平有效。信号,低电平有效。 基本RS触发器一、一、 维持维持- -阻塞阻塞D D触发器触发器 R R R RD D D D和和和和S S S SD D D D的作用主要是用来给触发器的作用主要是用来给触发器的作用主要是用来给触发器的作用主要是用来给触发器设置初始状态设置初始状态设置初始状态设置初始状态,或对触发,或对触发,或对触发,或对触发器的状态进行器的状态进行器的状态进行器的状态进行特殊特殊特殊特殊的控制。在使用时要注意,任何时刻,只能一的控制。在使用时要注意,任何时刻,只能一的控制。在使用时要注意,任何时刻,只能一的控制。在使用时要注意,任何时刻

46、,只能一个信号有效,不能个信号有效,不能个信号有效,不能个信号有效,不能同时同时同时同时有效。有效。有效。有效。60 2 2、工作原理、工作原理 SD=RD =1 &0 01 11 11 11 1Qn+1=Qn&D DD DCP = 0 5.1.4 5.1.4 边沿触发器边沿触发器 CP = 0 期间期间D信号存于信号存于Q6一、一、 维持阻塞维持阻塞D D触发器触发器 61CPCP由由0 0变变1 1&D DD DD DD DD DD D在在CPCP脉冲的上升沿到来时,触法器的状态改变,且与脉冲的上升沿到来时,触法器的状态改变,且与D D信号相同信号相同SD=RD =1 2 2、工作原理、工

47、作原理 5.1.4 5.1.4 边沿触发器边沿触发器 一、一、 维持阻塞维持阻塞D D触发器触发器62 2 2、工作原理、工作原理 SD=RD =1 CP=1& D DD D1 1若若Q3=0, Q4=1 0 01 11 10 0置置0维持线,维持线, 置置1阻塞线阻塞线1 10 01 15.1.4 5.1.4 边沿触发器边沿触发器 一、一、 维持阻塞维持阻塞D D触发器触发器63 2 2、工作原理、工作原理 CP=1& D DD D1 1若若Q3=1, Q4=0 1 10 00 0置置1维持线维持线1 1& 1 1SD=RD =1 1 15.1.4 5.1.4 边沿触发器边沿触发器 一、一、

48、 维持阻塞维持阻塞D D触发器触发器置置0阻塞线阻塞线 可见,维持可见,维持可见,维持可见,维持阻塞触发器是利用了维持线和阻塞线,将触发器阻塞触发器是利用了维持线和阻塞线,将触发器阻塞触发器是利用了维持线和阻塞线,将触发器阻塞触发器是利用了维持线和阻塞线,将触发器的触发翻转控制在的触发翻转控制在的触发翻转控制在的触发翻转控制在CPCPCPCP上跳沿到来的一瞬间,并接收上跳沿到来的一瞬间,并接收上跳沿到来的一瞬间,并接收上跳沿到来的一瞬间,并接收CPCPCPCP上跳沿到来上跳沿到来上跳沿到来上跳沿到来前一瞬间的前一瞬间的前一瞬间的前一瞬间的D D D D信号。维持信号。维持信号。维持信号。维持阻

49、塞触发器因此而得名。阻塞触发器因此而得名。阻塞触发器因此而得名。阻塞触发器因此而得名。643 3、触发方式、触发方式维持阻塞维持阻塞D D触发器在触发器在CPCP脉冲的上升沿产生状态变化,属脉冲的上升沿产生状态变化,属上升上升沿沿 触发方式。其触发方式。其次态次态取决于取决于CPCP脉冲上升沿到达前瞬间脉冲上升沿到达前瞬间D D端的信号端的信号。5.1.4 5.1.4 边沿触发器边沿触发器 逻辑符号逻辑符号一、一、 维持阻塞维持阻塞D D触发器触发器65 4 4、 逻辑功能逻辑功能 逻辑功能表逻辑功能表 0 0 0 0 1 0 1 0 1 1 1 1 特性方程特性方程Qn+1=D状态转换图状态

50、转换图D5.1.4 5.1.4 边沿触发器边沿触发器 一、一、 维持阻塞维持阻塞D D触发器触发器66工作波形工作波形D D 触发器的逻辑功能表触发器的逻辑功能表 D 0 0 0 0 1 0 1 0 1 1 1 1 维持阻塞维持阻塞D触发器状态变化产生在时钟触发器状态变化产生在时钟 脉冲的上升沿,其次态决定于该时刻前脉冲的上升沿,其次态决定于该时刻前 瞬间输入信号瞬间输入信号D。 5.1.4 5.1.4 边沿触发器边沿触发器 一、一、 维持阻塞维持阻塞D D触发器触发器67二、二、 由传输门组成的由传输门组成的CMOSCMOS主从主从D D触发器触发器 逻辑符号逻辑符号 用用CMOSCMOS逻

51、逻辑辑门门和和CMOSCMOS传传输输门门组组成成的的主主从从D D触触发发器器。图图中中,G1G1、G2G2和和TG1TG1、TG2TG2组组成成主主触触发发器器,G3G3、G4G4和和TG3TG3、TG4TG4组组成成从从触触发发器器。CPCP和和为为互互补补的的时时钟钟脉脉冲冲。由由于于引引入入了了传传输输门门,该该电电路路虽虽为为主主从从结结构构,却却没没有有一一次次变变化化问问题题,具具有有边边沿沿触触发发器器的的特性。特性。5.1.4 5.1.4 边沿触发器边沿触发器 1 1电路结构电路结构68二、二、 由传输门组成的由传输门组成的CMOSCMOS主从主从D D触发器触发器 逻辑符

52、号逻辑符号2.2.工作原理:工作原理: (1) CP(1) CP正跳变后:正跳变后: TGTG1 1导通,导通,TGTG2 2截止截止输入信号输入信号D D 送入主触发器送入主触发器Q Q,。,。TGTG3 3截止,截止,TGTG4 4导通导通从触发器维持在原来的状态不变。从触发器维持在原来的状态不变。 5.1.4 5.1.4 边沿触发器边沿触发器 69二、二、 由传输门组成的由传输门组成的CMOSCMOS主从触发器主从触发器 逻辑符号逻辑符号2.2.工作原理:工作原理: (2) CP(2) CP负跳变后:负跳变后: TGTG1 1截止,截止,TGTG2 2导通导通主触发器维持原态不变。主触发

53、器维持原态不变。 TGTG3 3导通,导通,TGTG4 4截止截止主触发器的状态送入从触发器使主触发器的状态送入从触发器使Q Q状状态变化。态变化。 5.1.4 5.1.4 边沿触发器边沿触发器 可见,该触发器是在利用可见,该触发器是在利用可见,该触发器是在利用可见,该触发器是在利用4 4 4 4个传输门交替地开通和关闭将触发个传输门交替地开通和关闭将触发个传输门交替地开通和关闭将触发个传输门交替地开通和关闭将触发器的触发翻转控制在器的触发翻转控制在器的触发翻转控制在器的触发翻转控制在CPCPCPCP下跳沿到来的一瞬间,并接收下跳沿到来的一瞬间,并接收下跳沿到来的一瞬间,并接收下跳沿到来的一瞬

54、间,并接收CPCPCPCP下跳沿到下跳沿到下跳沿到下跳沿到来前一瞬间的来前一瞬间的来前一瞬间的来前一瞬间的D D D D信号。信号。信号。信号。703. 3. 由传输门组成的由传输门组成的CMOSCMOS主从触发器主从触发器 (2 2) D D触发器的逻辑功能触发器的逻辑功能 逻辑功能表逻辑功能表D000010101111特性方程特性方程Qn+1=D状态转换图状态转换图触发方式:在触发方式:在CP高电平期间存储信号,高电平期间存储信号,CP的负跳的负跳沿触发翻转沿触发翻转.5.1.4 5.1.4 边沿触发器边沿触发器71(2 2) D D触法器的逻辑功能触法器的逻辑功能 CP D Q 5.1.

55、3 5.1.3 主从触发器主从触发器3. 由传输门组成的由传输门组成的CMOS主从触发器主从触发器 工作波形工作波形逻辑符号逻辑符号72例例 1:高速:高速CMOS边沿边沿D触发器触发器74HC74电路及输入电路及输入CP、RD、SD和和D信号波形分别如图所示,设触发器的初态为信号波形分别如图所示,设触发器的初态为1,试对应画,试对应画出输出端出输出端Q的波形。的波形。直接(异步)输入端直接(异步)输入端 5.1.4 5.1.4 边沿触发器边沿触发器731. 集成主从集成主从RS 触发器触发器(TTL集成主从集成主从RS触发器触发器74LS71 )逻辑符号逻辑符号 引脚分布图引脚分布图 5.2

56、 5.2 集成触发器集成触发器 74LS71 74LS71 74LS71 74LS71为多输入端的单为多输入端的单为多输入端的单为多输入端的单RSRSRSRS触发器,它有触发器,它有触发器,它有触发器,它有3 3 3 3个个个个R R R R端和端和端和端和3 3 3 3个个个个S S S S端,端,端,端,3 3 3 3个个个个R R R R端之间是与逻辑关系,端之间是与逻辑关系,端之间是与逻辑关系,端之间是与逻辑关系,3 3 3 3个个个个S S S S端之间也是与逻辑关系,端之间也是与逻辑关系,端之间也是与逻辑关系,端之间也是与逻辑关系,1R = R1R = R1R = R1R = R1

57、 1 1 1 R R R R2 2 2 2 R R R R3 3 3 3,1S = 1S = 1S = 1S = S S S S1 1 1 1 S S S S2 2 2 2 S S S S3 3 3 3。使用中如有。使用中如有。使用中如有。使用中如有多余多余多余多余的的的的输入端,应将其接输入端,应将其接输入端,应将其接输入端,应将其接高高高高电平。该电平。该电平。该电平。该触发器带有直接置触发器带有直接置触发器带有直接置触发器带有直接置0 0 0 0端端端端R R R RD D D D和直和直和直和直接置接置接置接置1 1 1 1端端端端S S S SD D D D,都为,都为,都为,都为低

58、低低低电平有效,电平有效,电平有效,电平有效,不用时应接不用时应接不用时应接不用时应接高高高高电平。电平。电平。电平。74LS7174LS7174LS7174LS71为为为为主从型触发器,主从型触发器,主从型触发器,主从型触发器,CPCPCPCP下跳沿触发。下跳沿触发。下跳沿触发。下跳沿触发。74主从主从RS 触发器触发器74LS71功能表功能表 逻辑符号逻辑符号 定不HHHHHL H LHHLHLHHHQnQnLLHHHLLHLHHLQQ 1R 1S 时钟时钟CP 清零清零R RD D预置预置S SD D 输出输出输输 入入5.2 5.2 集成触发器集成触发器7576 2. 集成主从集成主从

59、JK触发器触发器-HC76逻辑符号逻辑符号引脚图引脚图预预 置置 输输 入入 端端清清 零零 输输 入入 端端1)、逻辑符号和引脚图、逻辑符号和引脚图高速高速CMOSCMOS双双JKJK触发器触发器 属于负跳沿触发的边沿触发器属于负跳沿触发的边沿触发器 主从主从TTLTTL的的74767476、74H7674H76、边沿、边沿TTL74LS76TTL74LS76等,功能都一样。等,功能都一样。 5.2 5.2 集成触发器集成触发器762)JK触发器触发器HC76的逻辑功能表的逻辑功能表 输 入入输 出出CPJKQLHHLHLLHHHLLQnHHHLHLHHLHLHHHHHQn2 .集成主从集成

60、主从JK触发器触发器-HC765.2 5.2 集成触发器集成触发器773、 集成边沿集成边沿D触发器触发器 (1)逻辑符号和引脚图逻辑符号和引脚图逻辑符号逻辑符号引脚图引脚图异异 步步 置置 位位 端端异异 步步 清清 零零 端端1RD5.2 5.2 集成触发器集成触发器782、逻辑功能表、逻辑功能表CPDQn+1 0110 1111 11Qn01010111不用不用5.2 5.2 集成触发器集成触发器795.3 5.3 触发器的功能转换触发器的功能转换RS RS 触发器触发器JK JK 触发器触发器T T 触发器触发器D D 触发器触发器RS触发器触发器:置置0、置、置1、不变、不定、不变、

61、不定JK触发器:置触发器:置0、置、置1、翻转、不变、翻转、不变D触发器:置触发器:置0、置、置1T触发器:翻转、不变触发器:翻转、不变801.1.用用JKJK触发器转换成其他功能的触发器触发器转换成其他功能的触发器(1 1)JKDJKD分别写出分别写出JKJK触发器和触发器和D D触发器的特性方程触发器的特性方程比较得:比较得:画出逻辑图:画出逻辑图:5.3 5.3 触发器的功能转换触发器的功能转换81(2 2)JKT(T)写出写出JKJK触发器和触发器和T T触发器的特性方程:触发器的特性方程:比较得:比较得:J=TJ=T,K=TK=T。令令T=1T=1,即可得,即可得T T触发器。触发器

62、。5.3 5.3 触发器的功能转换触发器的功能转换822 2用用D D触发器转换成其他功能的触发器触发器转换成其他功能的触发器(1 1)DJK比较得:比较得: 画出逻辑图。画出逻辑图。 写写出出D触触发发器器和和JK触触发发器的特性方程:器的特性方程: 5.3 5.3 触发器的功能转换触发器的功能转换83(2 2)DT 5.3 5.3 触发器的功能转换触发器的功能转换 写写出出D触触发发器器和和T触触发发器器的特性方程:的特性方程: 比较得:比较得: 画出逻辑图。画出逻辑图。 84(2 2)DT 5.3 5.3 触发器的功能转换触发器的功能转换 写写出出D触触发发器器和和T触触发发器的特性方程

63、:器的特性方程: 比较得:比较得: 画出逻辑图。画出逻辑图。 85 触发器的应用非常广泛,是时序逻辑电路重触发器的应用非常广泛,是时序逻辑电路重要的组成部分,其典型应用将在下一章中作较详要的组成部分,其典型应用将在下一章中作较详细的介绍。这里先举一例,使读者体会触发器的细的介绍。这里先举一例,使读者体会触发器的“记忆记忆”作用作用。5.4 5.4 触发器触发器“记忆记忆”功能的举例功能的举例例例:设计一个:设计一个3 3人抢答电路。人抢答电路。3 3人人A A、B B、C C各控制一个各控制一个按键开关按键开关K KA A、K KB B、K KC C和一个发光二极管和一个发光二极管DADA、D

64、BDB、DCDC。谁先按下开关,谁的发光二极管亮,同时使其他人的谁先按下开关,谁的发光二极管亮,同时使其他人的抢答信号无效。抢答信号无效。865.4 5.4 触发器触发器“记忆记忆”功能的举例功能的举例 1. 1. 用门电路组用门电路组成的基本电路成的基本电路 开始抢答前,三按键开关开始抢答前,三按键开关KAKA、KBKB、KCKC均不按下,均不按下,A A、B B、C C三信号都为三信号都为0 0,G GA A、G GB B、G GC C门的输出都为门的输出都为1 1,三,三个发光二极管均不亮。个发光二极管均不亮。 875.4 5.4 触发器触发器“记忆记忆”功能的举例功能的举例 开开始始抢

65、抢答答后后,如如K KA A第第一一个个被被按按下下,则则A=1A=1,G GA A门门的的输输出出变变为为V VOAOA=0=0,点点亮亮发发光光二二极极管管D DA A,同同时时,V VOAOA的的0 0信信号号封锁封锁了了G GB B、G GC C门,门,K KB B、K KC C再按下再按下无效无效。 讨论讨论:该电:该电路有缺陷吗?路有缺陷吗?885.4 5.4 触发器触发器“记忆记忆”功能的举例功能的举例 开开始始抢抢答答前前,先先按按一一下下复复位位键键K KR R,即即3 3个个触触发发器器的的R R信信号号都都为为0 0,使使Q QA A、Q QB B、Q QC C均均置置0

66、 0,三三个个发发光光二二极极管管均均不不亮亮。开开始始抢抢答答后后,如如K KA A第第一一个个被被按按下下,则则FFAFFA的的S=0S=0,使使QAQA置置1 1,G GA A门门的的输输出出变变为为V VOAOA=0=0,点点亮亮发发光光二二极极管管D DA A,同同时时,V VOAOA的的0 0信信号号封封锁锁了了G GB B、G GC C门门,K KB B、K KC C再按下无效。再按下无效。2.2.用基本用基本RSRS触发触发器组成的电路器组成的电路其中其中K KR R为复位键,为复位键,由裁判控制。由裁判控制。 该电路与门电路功能一样,但由于使用了触发器,按键开关该电路与门电路

67、功能一样,但由于使用了触发器,按键开关只要按一下,触发器就能记住这个信号。如只要按一下,触发器就能记住这个信号。如K KA A第一个被按下,则第一个被按下,则FFAFFA的的S=0S=0,使,使Q QA A置置1 1,然后松开,然后松开K KA A,此时,此时FFAFFA的的S=R=1S=R=1,触发器保持,触发器保持原状态,保持着刚才的原状态,保持着刚才的Q QA A=1=1,直到裁判重新按下,直到裁判重新按下K KR R键,新一轮抢键,新一轮抢答开始。这就是触发器的答开始。这就是触发器的“记忆记忆”作用。作用。89本章小结本章小结1 1 1 1触触触触发发发发器器器器有有有有两两两两个个个

68、个基基基基本本本本性性性性质质质质:(1 1 1 1)在在在在一一一一定定定定条条条条件件件件下下下下,触触触触发发发发器器器器可可可可维维维维持持持持在在在在两两两两种种种种稳稳稳稳定定定定状状状状态态态态(0 0 0 0或或或或1 1 1 1状状状状态态态态)之之之之一一一一而而而而保保保保持持持持不不不不变变变变;(2 2 2 2)在在在在一一一一定定定定的的的的外外外外加加加加信信信信号号号号作作作作用用用用下下下下,触触触触发发发发器器器器可可可可从从从从一一一一个个个个稳稳稳稳定定定定状状状状态态态态转转转转变变变变到到到到另另另另一一一一个个个个稳稳稳稳定定定定状状状状态态态态。

69、这这这这就就就就使使使使得得得得触触触触发发发发器器器器能能能能够够够够记记记记忆忆忆忆二二二二进进进进制制制制信信信信息息息息0 0 0 0和和和和1 1 1 1,常被用作二进制存储单元。常被用作二进制存储单元。常被用作二进制存储单元。常被用作二进制存储单元。2 2 2 2触触触触发发发发器器器器的的的的逻逻逻逻辑辑辑辑功功功功能能能能是是是是指指指指触触触触发发发发器器器器输输输输出出出出的的的的次次次次态态态态与与与与输输输输出出出出的的的的现现现现态态态态及及及及输输输输入入入入信信信信号号号号之之之之间间间间的的的的逻逻逻逻辑辑辑辑关关关关系系系系。描描描描写写写写触触触触发发发发器

70、器器器逻逻逻逻辑辑辑辑功功功功能能能能的的的的方方方方法法法法主主主主要要要要有有有有特特特特性性性性表表表表、特特特特性性性性方方方方程程程程、驱驱驱驱动动动动表表表表、状状状状态态态态转转转转换换换换图图图图和和和和波波波波形形形形图图图图( ( ( (又又又又称称称称时序图时序图时序图时序图) ) ) )等。等。等。等。3 3 3 3按照结构不同,触发器可分为:按照结构不同,触发器可分为:按照结构不同,触发器可分为:按照结构不同,触发器可分为: (1) (1) (1) (1) 基本基本基本基本RSRSRSRS触发器,为电平触发方式。触发器,为电平触发方式。触发器,为电平触发方式。触发器,

71、为电平触发方式。 (2) (2) (2) (2) 同步触发器,为脉冲触发方式。同步触发器,为脉冲触发方式。同步触发器,为脉冲触发方式。同步触发器,为脉冲触发方式。 (3) (3) (3) (3) 主从触发器,为脉冲触发方式。主从触发器,为脉冲触发方式。主从触发器,为脉冲触发方式。主从触发器,为脉冲触发方式。 (4) (4) (4) (4) 边沿触发器,为边沿触发方式。边沿触发器,为边沿触发方式。边沿触发器,为边沿触发方式。边沿触发器,为边沿触发方式。90本章小结本章小结4 4 4 4根据逻辑功能的不同,触发器可分为:根据逻辑功能的不同,触发器可分为:根据逻辑功能的不同,触发器可分为:根据逻辑功

72、能的不同,触发器可分为: (1) RS(1) RS(1) RS(1) RS触发器触发器触发器触发器 RS=0 RS=0 RS=0 RS=0 (约束条件)(约束条件)(约束条件)(约束条件) (2) JK(2) JK(2) JK(2) JK触发器触发器触发器触发器 (3) D(3) D(3) D(3) D触发器触发器触发器触发器 (4) T(4) T(4) T(4) T触发器触发器触发器触发器 (5 5 5 5)T T T T 触发器触发器触发器触发器 5 5 5 5同同同同一一一一电电电电路路路路结结结结构构构构的的的的触触触触发发发发器器器器可可可可以以以以做做做做成成成成不不不不同同同同的

73、的的的逻逻逻逻辑辑辑辑功功功功能能能能;同同同同一一一一逻逻逻逻辑辑辑辑功功功功能能能能的的的的触触触触发发发发器器器器可可可可以以以以用用用用不不不不同同同同的的的的电电电电路路路路结结结结构构构构来来来来实实实实现现现现;不不不不同同同同结结结结构构构构的的的的触触触触发发发发器器器器具具具具有有有有不不不不同同同同的的的的触触触触发发发发条条条条件件件件和和和和动动动动作作作作特特特特点点点点,触触触触发发发发器器器器逻逻逻逻辑辑辑辑符符符符号号号号中中中中CPCPCPCP端端端端有有有有小小小小圆圆圆圆圈圈圈圈的的的的为为为为下下下下降降降降沿沿沿沿触触触触发发发发;没没没没有有有有小小小小圆圆圆圆圈圈圈圈的的的的为为为为上上上上升升升升沿触发。沿触发。沿触发。沿触发。6 6 6 6利利利利用用用用特特特特性性性性方方方方程程程程可可可可实实实实现现现现不不不不同同同同功功功功能能能能触触触触发发发发器器器器间间间间逻逻逻逻辑辑辑辑功功功功能能能能的的的的相相相相互互互互转转转转换。换。换。换。91

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 其它相关文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号