DA转换技术实用教案

上传人:工**** 文档编号:569761902 上传时间:2024-07-30 格式:PPT 页数:44 大小:5.11MB
返回 下载 相关 举报
DA转换技术实用教案_第1页
第1页 / 共44页
DA转换技术实用教案_第2页
第2页 / 共44页
DA转换技术实用教案_第3页
第3页 / 共44页
DA转换技术实用教案_第4页
第4页 / 共44页
DA转换技术实用教案_第5页
第5页 / 共44页
点击查看更多>>
资源描述

《DA转换技术实用教案》由会员分享,可在线阅读,更多相关《DA转换技术实用教案(44页珍藏版)》请在金锄头文库上搜索。

1、1/53本讲内容本讲内容(nirng)DAC的工作的工作(gngzu)原理原理 DAC的性能指标的性能指标8位位DAC-0832 第十四讲第十四讲 D/A D/A转换技术转换技术(jsh)(jsh)与应用与应用技术技术(jsh)(jsh)第1页/共43页第一页,共44页。2/53概概述述将模拟量转换成数字量,称为(chn wi)模/数转换,或称A/D(Analogue/Digital)转换。完成A/D转换的器件,叫A/D转换器(ADC); 将数字量转换成模拟量,称为(chn wi)数/模转换,或称D/A(Digital /Analogue)转换。完成D/A转换的器件,叫D/A转换器(DAC)。

2、第2页/共43页第二页,共44页。3/53 单片微机经常应用于智能化测量(cling)与控制仪表,而智能化测控仪表要完成对外界参数的测量(cling)并对某些参数的变化进行控制。 概概述述第3页/共43页第三页,共44页。4/53外界的各种( zhn)非电物理量通过传感器转变为电信号,通常这些信号很小,需要经过放大电路进行放大,经过滤波电路滤除噪声。这种输入信号是连续变化的模拟量,必需经过模拟/数字(A/D)变换器将离散的模拟信号转换为离散的数字信号(数字序列)。单片机对这些数字信号进行各种计算和处理,并按照一定控制算法得到相应的控制输出。这些输出量需要经过数字/模拟(mn)(D/A)变换转换

3、为模拟(mn)量,再经过功率放大驱动执行机构,调节被控制的物理量向所希望的方向变化。 模拟量的输入输出技术在单片机的应用(yngyng)技术中占有十分重要的地位。第4页/共43页第四页,共44页。5/53DAC的工作(gngzu)原理第5页/共43页第五页,共44页。6/53DAC的工作(gngzu)原理 D/A转换的基本原理是按二进制数各位代码的数值(shz),将每一位数字量转换成相应的模拟量,然后将各模拟量迭加,其总和就是与数字量成正比的模拟量。 D/A转换器(DAC)就是将二进制数字量转换成与其数字成正比的电流信号或电压信号的器件。第6页/共43页第六页,共44页。7/53按输入至DAC

4、的数字量的位数:8位、10位、12位、14位、16位等;按输送(sh sn)至DAC的数码形式:有二进制码和BCD码输入等DAC;按传输数字量的方式:有并行的和串行的DAC两类;按转换器速度:有低速和高速之分;按输出极性:有单极性输出和双极性输出两种;按工作原理:可分为权电阻型和R-2R电阻网络型;按DAC与计算机接口的角度:DAC又可分为有输入锁存器和没有锁存器两类。 DAC的类型(lixng)第7页/共43页第七页,共44页。8/53DAC的工作(gngzu)原理基本电路由4部分(b fen)组成:参考电源、电阻网络、电子转换开关和运算放大器。 根据电路结构的不同,DAC分为两种类型: T

5、形电阻(dinz)网络的DAC;权电阻(dinz)型的DAC。 同样位数的DAC,权电阻(dinz)型的DAC的转换速度约为T形 电阻(dinz)网络DAC的510倍,二者精度相同。运算放大器A和基准电压Vref 是外接的 。 Kn-1, K2,K1,K0的导通电阻是阻值很小的欧姆电阻(符合欧姆定律),而断开时电阻很大。 第8页/共43页第八页,共44页。9/53R-2R/T形电阻(dinz)网络型DAC的工作原理 电阻配置看起来像一个T字,其两肩上各有一个电阻,立柱上也有一个电阻,形如T字。 R-2R/T形电阻网络型DAC是电流(dinli)输出型DAC。第9页/共43页第九页,共44页。1

6、0/53R-2R/T形电阻(dinz)网络型DAC的工作原理 第10页/共43页第十页,共44页。11/53R-2R/T形电阻网络(wnglu)型DAC的工作原理 在正常工作范围,运算放大器A总是处于线性区,因此图中M点和N点的电位相同,都等于地电位,即其电压都是0V。不管数字开关(K3K0)与哪一边接通,从Vref经过电阻流到地的电流在同一个开关处都是一样(yyng)大(不同开关处的电流是不一样(yyng)大)。T形电阻网络的DAC的特点:从A3、A2、A1、A0四个点向地看,其对地的电阻值都是R。其电流的分配完全与加载在数字开关Kx上的数位的权重成正比。第11页/共43页第十一页,共44页

7、。12/53R-2R/T形电阻网络型DAC的工作(gngzu)原理 其电流的分配完全与加载在数字开关(kigun)Kx上的数位的权重成正比。第12页/共43页第十二页,共44页。13/53R-2R/T形电阻网络型DAC的工作(gngzu)原理 流向(li xin)M的总电流Iout1: 输出(shch)电流Iout1与参考电压Vref成正比对于具有n位数字量输入的T形电阻网络型DAC第13页/共43页第十三页,共44页。14/53R-2R/T形电阻网络型DAC的工作(gngzu)原理 对于(duy)具有n位数字量输入的T形电阻网络型DACT型电阻DAC也称为(chn wi)乘法DAC。 如果运

8、算放大器A为理想运算放大器,可以忽略其输入电流,则流过反馈电阻Rfb的电流Ifb就等于Iout1。在该集成电路制作过程中,使反馈电阻Rfb等于T型电阻网络的等效电阻R。 属于R-2R/T形电阻网络型DAC的有8位DAC083系列(DAC0830,DAC0831和DAC0832), 12位DACl208,DACl230等 。第14页/共43页第十四页,共44页。15/53权电阻(dinz)型DAC的工作原理 第15页/共43页第十五页,共44页。16/53权电阻(dinz)型DAC的工作原理 从I0到I3,各支路上的电流呈几何级数增大。与其对应(duyng)的数据D0到D3的权重一致。 电流(d

9、inli)I是由Vref通过所有电阻(图中的R、2R、22R、23R)流入地的电流(dinli)之和。 各支路上的电阻值与对应的数据位的权重成正比,因此称其为权电阻型DAC。 第16页/共43页第十六页,共44页。17/53权电阻型DAC的工作(gngzu)原理 从I0到I3的电流归于Iout1还是Iout2取决于电子(dinz)开关K3到K0与M点接通还是与N点接通。电子(dinz)开关(K3K0)是受输入数字量D3D0控制的,它控制每个支路电流的去向。 当某位输入(shr)数字量为1时,转换开关与M接通,该支路的电流就归于Iout1,该位输入(shr)数字量为0时,转换开关与N接通,该支路

10、的电流就归于Iout2。 第17页/共43页第十七页,共44页。18/53DAC的性能指标满量程分辨率非线性(线性度) 相对精度 绝对精度(简称精度) 建立(jinl)时间 温度系数 第18页/共43页第十八页,共44页。19/53DAC的性能指标满量程满量程是输入(shr)数字量全为l时的模拟量输出;满量程是个理论值,可以趋近,但永远达不到; 如果是电流输出,满量程用IFS表示,如果是电压输出,用VFS表示。第19页/共43页第十九页,共44页。20/53DAC的性能指标分辨率分辨率是指输入数字量的最低有效位(LSB)发生变化时,DAC的输出(shch)模拟量(常为电压)的变化量;分辨率反映

11、了输出(shch)模拟量的最小变化值;分辨率取决于转换器的位数和转换器满刻度值VFS;转换器的位数越多分辨率就越高;有时也用DAC的位数表示分辨率;分辨率也可以用满量程的百分数表示 。 位数位数 全量程的分数全量程的分数 全量程的百分数全量程的百分数5V量程量程10V量程量程81/2560.391%19.5 mV39.1mV121/40960.0244%1.22 mV2.44mVe.g. 对于(duy)5V的满量程: 采用位的DAC时,分辨率为5V/25619.5mV; 当采用12位的DAC时,分辨率则为5V/40961.22mV。第20页/共43页第二十页,共44页。21/53DAC的性能指

12、标非线性(线性度/非线性误差(wch))是实际转换特性曲线与理想直线特性之间的最大偏差(pinch);刻划D/A转换器的直线性的好坏。常以相对于满量程的百分数表示。如是指实际输出值与理论值之差在满刻度的以内。也可以用位数表示。例如(lr),非线性为10位,即表示偏差在(满刻度)/2100.1以内。第21页/共43页第二十一页,共44页。22/53DAC的性能指标相对(xingdu)精度指在满刻度已校准的情况下,在整个刻度范围内,对应于任一输入数码的模拟量输出与它的理论值之差;有两种表示相对(xingdu)精度的方法:一种用数字量的最低有效值LSB表示,另一种用该偏差相对(xingdu)满刻度的

13、百分比表示。 指对应于满刻度的数字(shz)量,DAC的实际输出与理论值之间的误差;由DAC的增益误差(当输入数码为全1时,实际输出值与理想输出值之差)、零点误差(数码输入为全时,DAC的非零输出值)、非线性误差和噪声等引起的。绝对精度(即最大误差)应小于1个LSB。绝对精度(精度)第22页/共43页第二十二页,共44页。23/53精度和分辨率具有一定的联系,但含义不同;DAC的位数多时,分辨率会提高,对应于影响精度的量化误差会减小。但其它误差(如温度漂移(pio y)、线性不良等)的影响仍会使DAC的精度变差。DAC的性能指标精度(jn d)和分辨率温度系数反映了/转换器的输出随温度变化(b

14、inhu)的情况;定义为在满量程刻度输出的条件下,温度每变化(binhu)1时DAC的增益、线性度、零点等参数的变化(binhu)量。它们分别称为增益温度系数、线性度温度系数、零点温度系数等。 温度系数第23页/共43页第二十三页,共44页。24/53指先前输入的数字量为满刻度(例如FFH+01H)并已转换(zhunhun)完成,输出为满刻度,从此时起,再输入一个新的数字量,直到输出达到该数字量所对应的模拟量所需的时间;建立时间即D/A转换(zhunhun)时间;电流输出型DAC建立时间短。电压输出型DAC的建立时间主要决定于运算放大器的响应时间;根据建立时间的长短,可以将DAC分成超高速(1

15、S)、高速(101S)、中速(10010S)、低速(100S)几档。 DAC的性能指标建立(jinl)时间第24页/共43页第二十四页,共44页。25/538位DAC-0832第25页/共43页第二十五页,共44页。26/538位位DAC-0832 DAC0832是带有两级数据(shj)输入缓冲锁存器的8位,R-2R T形电阻网络结构 。输入的数字量为8位,分辨率为8位。能直接与8位微处理器或外总线设置为8位的16位微处理器相连;采用CMOS工艺,所有引脚的逻辑电平与TTL兼容(jin rn);数字量输入可以采用双缓冲、单缓冲或直通工作方式;电流稳定时间:1s;非线性误差:0.2% FSR(满

16、量程);单一电源,5-15V,功耗20mW;。参考电压:-10V+10V。主要(zhyo)性能第26页/共43页第二十六页,共44页。27/538位位DAC-0832结构(jigu)特点DAC0832采用(ciyng)20个引脚的双列直插式封装。 第27页/共43页第二十七页,共44页。28/538位位DAC-0832结构(jigu)特点R-2RT形电阻(dinz)网络式 ADCIout1和Iout2是电流(dinli)输出脚 ;第28页/共43页第二十八页,共44页。29/538位位DAC-0832结构(jigu)特点第29页/共43页第二十九页,共44页。30/538位位DAC-0832结

17、构(jigu)特点第30页/共43页第三十页,共44页。31/538位位DAC-0832结构(jigu)特点第31页/共43页第三十一页,共44页。32/538位位DAC-0832结构(jigu)特点第32页/共43页第三十二页,共44页。33/538位位DAC-0832结构(jigu)特点Rfb:反馈电阻引出端。DAC0832内部已经集成有反馈电阻,所以Rfb可直接(zhji)接到外部运算放大器的输出端,这样就相当于一个反馈电阻接在运算放大器的输入端和输出端。AGND:模拟信号地线。DGND:数字信号地线。第33页/共43页第三十三页,共44页。34/538位位DAC-0832结构(jigu

18、)特点为了(wi le)保证8位D/A变换器的输出对应于某给定时刻的D7-D0。在变换器之前必须有寄存器(图中的8位DAC寄存器)。寄存器起了锁存器的作用,也起了缓冲作用。在使用时,可以采用双缓冲方式(利用两个寄存器),也可以采用单缓冲方式(只用一级锁存,另一级直通),还可以采用直通方式。8位D/A变换器不断(bdun)地进行D/A转换,其输出一直对应于8位DAC寄存器输出的当时值,当8位DAC寄存器的输出改变时,8位D/A变换器的输出也随之改变。第34页/共43页第三十四页,共44页。35/538位位DAC-0832结构(jigu)特点DAC0832只需一组供电(n din)电源,其值可在+

19、5V+15V范围内。 第35页/共43页第三十五页,共44页。36/538位位DAC-0832DAC0832与微机的接口(ji ku)电路 此方式适用于只有一路模拟量输出,或有几路模拟量输出但并不要求同步(tngb)的系统。第36页/共43页第三十六页,共44页。37/538位位DAC-0832DAC0832与微机的接口(ji ku)电路 第37页/共43页第三十七页,共44页。38/538位位DAC-0832DAC0832与微机(wi j)的接口电路 第38页/共43页第三十八页,共44页。39/538位位DAC-0832DAC0832与微机(wi j)的接口电路 第39页/共43页第三十九

20、页,共44页。40/538位位DAC-0832DAC0832与微机的接口(ji ku)电路 DAC0832为8位D/A转换器,很适合与数据线为8位的单片机接口,在实用中也大多(ddu)用于单片机控制的系统中。 引脚Vref接负电源,则Vo便为正电压。在DAC0832的供电引脚VCC=5V的情况(qngkung)下,如果要使输出电压Vo在010V,则在不改变其他接线电压的情况(qngkung)下,将Vref接10V即可。第40页/共43页第四十页,共44页。41/538位位DAC-0832电路(dinl)调整 一般在电路正式使用以前,先要进行调整,包括调整零点(ln din)和增益。先调零点(l

21、n din),后调增益。调整前应在外部加上两个电位器Rb与Rc,Rb与Rfb相并联,Rc串连在芯片管脚Rfb与运算放大器输出端A点之间。 第41页/共43页第四十一页,共44页。42/538位位DAC-0832电路(dinl)调整 一般在电路正式使用以前,先要进行(jnxng)调整,包括调整零点和增益。先调零点,后调增益。调整前应在外部加上两个电位器Rb与Rc,Rb与Rfb相并联,Rc串连在芯片管脚Rfb与运算放大器输出端A点之间。 第42页/共43页第四十二页,共44页。43/53感谢您的欣赏(xnshng)!第43页/共43页第四十三页,共44页。内容(nirng)总结1/53。分辨率取决于转换器的位数和转换器满刻度值VFS。非线性(线性度/非线性误差)。如是指实际输出值与理论值之差在满刻度的以内。建立时间即D/A转换时间。数字(shz)量输入可以采用双缓冲、单缓冲或直通工作方式。参考电压:-10V+10V。在变换器之前必须有寄存器(图中的8位DAC寄存器)。寄存器起了锁存器的作用,也起了缓冲作用。DAC0832只需一组供电电源,其值可在+5V+15V范围内。感谢您的欣赏第四十四页,共44页。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 研究生课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号