基于USB口的FPGA器件编程方法毕设论文

上传人:m**** 文档编号:569718616 上传时间:2024-07-30 格式:PPT 页数:25 大小:1.01MB
返回 下载 相关 举报
基于USB口的FPGA器件编程方法毕设论文_第1页
第1页 / 共25页
基于USB口的FPGA器件编程方法毕设论文_第2页
第2页 / 共25页
基于USB口的FPGA器件编程方法毕设论文_第3页
第3页 / 共25页
基于USB口的FPGA器件编程方法毕设论文_第4页
第4页 / 共25页
基于USB口的FPGA器件编程方法毕设论文_第5页
第5页 / 共25页
点击查看更多>>
资源描述

《基于USB口的FPGA器件编程方法毕设论文》由会员分享,可在线阅读,更多相关《基于USB口的FPGA器件编程方法毕设论文(25页珍藏版)》请在金锄头文库上搜索。

1、基于基于USB口的口的FPGA器器件编程方法件编程方法导导导导师师师师:吴健吴健吴健吴健答辩人答辩人答辩人答辩人:张荣建张荣建张荣建张荣建专专专专业业业业:测控技术与仪器测控技术与仪器测控技术与仪器测控技术与仪器 站长素材 SC.CHINAZ.COM研究背景研究背景在新型智能手机、移动终端、在新型智能手机、移动终端、PDA等便携等便携设备的开发过程中,以及科研过程中设备的开发过程中,以及科研过程中FPGA的使用非常的普遍。但是对的使用非常的普遍。但是对FPGA的编程方法通常是通过串并口进行文件下的编程方法通常是通过串并口进行文件下载,这种传统方式受到下载速度或设备尺载,这种传统方式受到下载速度

2、或设备尺寸等因素的限制,无法满足研发需求寸等因素的限制,无法满足研发需求,需需要一种更具可行性,适用性和稳定性的方要一种更具可行性,适用性和稳定性的方案。由于案。由于USB的广泛应用,因此研究一个的广泛应用,因此研究一个采用采用USB接口的下载方法具有广泛的现实接口的下载方法具有广泛的现实意义。意义。课题方向课题方向课题主要是设计一种基于课题主要是设计一种基于USB接口的接口的FPGA下载线来实现下载线来实现对目标对目标FPGA的配置。要求下载的配置。要求下载器具有良好的器具有良好的稳定性和适用性。稳定性和适用性。其中包括方案的确定和具体其中包括方案的确定和具体方案实现的设计。包含软件和硬方案

3、实现的设计。包含软件和硬件。件。课题研究流程课题研究流程1.课题分析,搜集资料课题分析,搜集资料2.模块化课题内容,对每个模模块化课题内容,对每个模块进行资料查阅块进行资料查阅3.开始对每个模块进行设计开始对每个模块进行设计4.对设计内容进行对设计内容进行调试调试5.写报告进行总结写报告进行总结模块划分模块划分论文要点论文要点uUSB ,是英文Universal Serial BUS(通用串行总线)的缩写,而其中文简称为“通串线,是一个外部总线标准,用于规范电脑与外部设备的连接和通讯。是应用在PC领域的接口技术。USB接口支持设备的即插即用和热插拔功能uJTAG接口介接口介绍JTAG(Join

4、tTestActionGroup)即即联合合测试行行动组,是一种国,是一种国际标准准测试协议(IEEE1149.1兼容)。兼容)。标准的准的JTAG接接口是口是4线:TMS、TCK、TDI、TDO,分分别为模式模式选择、时钟、数据、数据输入和数据入和数据输出出线。系统方案设计系统方案设计u系系统原理,原理,结构构硬件设计硬件设计器件的选择器件的选择u为了搭建通了搭建通过USB接口下接口下载FPGA程序程序的功能,的功能,USB芯片采用了芯片采用了FT245RL实现USB数据的数据的转换功能,采用功能,采用AMS1117作作为稳压器,将器,将5V电压调整整为3.3V。采用。采用EPM7064CP

5、LD器件器件实现并行并行IO口和口和JTAG之之间数据的数据的逻辑转换,用,用MAX3378为缓冲芯片。冲芯片。软件设计软件设计u1.USB驱动程序。程序。u2.USB固件芯片程序是固件芯片程序是对芯片芯片进行的一行的一些基本些基本设置来使其与主机通信。置来使其与主机通信。u3.CPLD程序部分。程序部分。硬件电路设计硬件电路设计u稳压电路:路:AMS1117提供提供电流限制和流限制和热保保护。输出出端需要一个至少端需要一个至少10uF的的钽电容来改善瞬容来改善瞬态响响应和和稳定性定性USB接口电路设计接口电路设计USB接口,它接口,它连接主机和可接主机和可编程程逻辑器件,器件,主要功能是主要

6、功能是进行行USB和并行和并行IO口之口之间的数据格式的数据格式转换uJTAG接口,它接口,它连接接USB控制芯片和需要控制芯片和需要编程的程的逻辑器件,其它包括一些必要的器件,其它包括一些必要的时钟电路和路和电压转换电路路CPLD电路设计电路设计uCPLD电路路进行并行行并行IO口和口和JTAG之之间数据的数据的逻辑转换,通,通过对CPLD进行行编程来程来实现晶振电路晶振电路u在此我们采用在此我们采用24M的晶体振荡器。的晶体振荡器。晶振输出端连接晶振输出端连接的电阻作用是限的电阻作用是限流,保证放大器流,保证放大器工作在高增益的工作在高增益的线性区。输入端线性区。输入端对地电容是为了对地电

7、容是为了滤波、减少干扰滤波、减少干扰整体整体USB驱动程序驱动程序uFTDI公司公司为FT245R芯片提供了芯片提供了2种种驱动程序:程序:VCP驱动和和D2XX驱动。使用。使用VCP驱动程序,数据程序,数据传输速率可达速率可达300kbs;使用;使用D2XX驱动程序,数据程序,数据传输速率可达速率可达1Mbs。u设计中使用使用第二种方式。中使用使用第二种方式。u驱动程序要包含的一些文件程序要包含的一些文件USB芯片的固件程序芯片的固件程序uUSB芯片的固件程序是芯片的固件程序是对USB芯片做初芯片做初始化始化设置。置。设置文件置文件为altera.ept文档。文档。u使用使用MProg3.0

8、A软件来系在到件来系在到USB芯片芯片中中CPLD程序设计程序设计uCPLD在收到在收到USB控制芯片控制芯片传送来的数送来的数据后,据后,CPLD程序程序对数据数据进行解析,然行解析,然后后转换为符合符合IEEE1149.1标准的准的编程数程数据和指令,从据和指令,从TCK、TMS和和TDI串行串行输出到要出到要编程的可程的可编程程逻辑器件。器件。端口介绍端口介绍uENTITYCPLDISuPORTu(uCLK:INSTD_LOGIC;unRXF:INSTD_LOGIC;unTXE:INSTD_LOGIC;uB_TDO:INSTD_LOGIC;uB_ASDO:INSTD_LOGIC;uB_T

9、CK:BUFFERSTD_LOGIC;uB_TMS:BUFFERSTD_LOGIC;uB_NCE:BUFFERSTD_LOGIC;uB_NCS:BUFFERSTD_LOGIC;uB_TDI:BUFFERSTD_LOGIC;uB_OE:BUFFERSTD_LOGIC;unRD:OUTSTD_LOGIC;uWR:OUTSTD_LOGIC;uD:INOUTSTD_LOGIC_VECTOR(7downto0)u);uENDCPLD;uu以上以上进行行实体体说明,明,进行端口行端口说明明,即即对信号名称(如信号名称(如CLK,nRXF),数据数据类型型(如如STD_LOGIC),I/O方向方向进行描述。

10、行描述。新建工程新建工程u新建工程来新建工程来进行行编译和仿真和仿真调试设计调试设计u调试的目的是的目的是验证以下系以下系统各部分功能:各部分功能:验证USB下下载调试器的下器的下载功能;功能;u调试的内容的内容应包括:包括:u1、驱动程序段安装。程序段安装。u2、FT245RL程序的程序的烧录。u3、CPLD程序的下程序的下载。u4、FPGA程序的下程序的下载。结论结论u论文文进行了系行了系统方案方案设计,包括硬件,包括硬件电路路设计和和软件件编程两部分。硬件程两部分。硬件电路以路以CPLD器件和集成了器件和集成了时钟、EEPROM的的FT245RL为基基础,设计了了USB接接口口电路,路,时钟电路,路,稳压电路,路,缓冲冲电路以及路以及CLPD电路。利用路。利用VHDL硬件描述硬件描述语言言编写写CPLD内内部程序部分,以及部程序部分,以及USB接口的接口的驱动程序、固件程序程序、固件程序的开的开发。在完成系。在完成系统设计之后借助之后借助QuartusII仿真工仿真工具具进行了系行了系统仿真和仿真和调试,验证了了FPGA程序的下程序的下载及及调试功能。在功能。在设计结束完成了硬件束完成了硬件电路路设计画画出了原理出了原理图和和PCB图。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号