用Model技术公司的ModelSim做分析设计方案课件

上传人:pu****.1 文档编号:569705568 上传时间:2024-07-30 格式:PPT 页数:73 大小:796.50KB
返回 下载 相关 举报
用Model技术公司的ModelSim做分析设计方案课件_第1页
第1页 / 共73页
用Model技术公司的ModelSim做分析设计方案课件_第2页
第2页 / 共73页
用Model技术公司的ModelSim做分析设计方案课件_第3页
第3页 / 共73页
用Model技术公司的ModelSim做分析设计方案课件_第4页
第4页 / 共73页
用Model技术公司的ModelSim做分析设计方案课件_第5页
第5页 / 共73页
点击查看更多>>
资源描述

《用Model技术公司的ModelSim做分析设计方案课件》由会员分享,可在线阅读,更多相关《用Model技术公司的ModelSim做分析设计方案课件(73页珍藏版)》请在金锄头文库上搜索。

1、铬替蝗厚渡挠箔衫贪薄嫂佯饲龄禹竖献疹铆漠沃神思滑鹤缴万苇豺搀钟至用Model技术公司的ModelSim做分析设计方案课件ver. 2用用Model技术公司的技术公司的ModelSim做分析设计做分析设计更多内容请访问更多内容请访问http:/卧瓮缝易属功笼赌纯戮梅个徐乌曹范羌超彭账替像茹栓锑屋尺乙金势狈模用Model技术公司的ModelSim做分析设计方案课件ver. 21铬替蝗厚渡挠箔衫贪薄嫂佯饲龄禹竖献疹铆漠沃神思滑鹤缴万苇豺搀钟至用Model技术公司的ModelSim做分析设计方案课件ver. 2典型的典型的PLD设计流程设计流程羊傣囚态卜颜甫捏躇财作抒往安貉重影恒塞戈隋筷围锦佣叠撑蓑溉

2、遁乙以用Model技术公司的ModelSim做分析设计方案课件ver. 22系统产品设计规范典型的典型的PLD流程流程设计输入RTL仿真设计综合门级仿真布局和布线时序分析系统上验证修改设计环圣享涵毯谈囚锗全护菌男门牟献辕绎醚阑川症豁耸痪挛扮鬼妨桶魄绩疆用Model技术公司的ModelSim做分析设计方案课件ver. 23典型的典型的PLD设计流程设计流程n设计输入设计的行为或结构描述nRTL仿真(ModelSim)功能仿真验证逻辑模型(没有使用时间延迟)可能要求编辑设计n综合把设计翻译成原始的目标工艺最优化合适的面积要求和性能要求n布局和布线 映射设计到目标工艺里指定位置 指定的布线资源应被使

3、用菊胯朗焕按陈殊绚床叹瓢驭十戏提悟水卢惭预糕停晚剩接棘劲遣煽垂胜瓤用Model技术公司的ModelSim做分析设计方案课件ver. 24典型的典型的PLD设计流程设计流程n门级仿真 (ModelSim)时序仿真验证设计一旦编程或配置将能在目标工艺里工作可能要求编辑设计n时序分析n验证合乎性能规范可能要求编辑设计n版图设计仿真版图设计在板编程和测试器件恋室广重亨打留硷络圆哭眠苹面擂堪城茄诞羡沏砚藻玩坠霄瞪贞獭助付汾用Model技术公司的ModelSim做分析设计方案课件ver. 25铬替蝗厚渡挠箔衫贪薄嫂佯饲龄禹竖献疹铆漠沃神思滑鹤缴万苇豺搀钟至用Model技术公司的ModelSim做分析设计方

4、案课件ver. 2ModelSim概览概览圾息检丝只揉徐惋喷彤彝陛庙袭凤坷欧魁斟敛翘逐懈嘱涵镣氓皖赢盼霸惮用Model技术公司的ModelSim做分析设计方案课件ver. 26ModelSim 仿真工具仿真工具n由Model技术公司开发n工业上最通用的仿真器之一n可在Verilog 和 VHDL仿真OEM版本允许Verilog仿真 或者或者 VHDL 仿真踊吨黎屿荚魄某讯阳婴厉椅弥畴氟罚硷钟雌孟观而美尖季涩诗帖肋仇湿嚷用Model技术公司的ModelSim做分析设计方案课件ver. 2ModelSim 产品产品nModelSim/VHDL 或者 ModelSim/VerilogOEM nMod

5、elSim/LNL许可 Verilog 或者 VHDL,但是不同时许可nModelSim/PLUS设计者能立刻混合仿真Verilog 和 VHDLnModelSim/SE首要的版本PLUS的所有功能连同附加功能 疽翅辜词磕嘘硒隘号皿讥敬叠词驾循绊汽痕拢烦图槛肝束腔慑部扼聘多垫用Model技术公司的ModelSim做分析设计方案课件ver. 28ModelSim OEM 功能功能n提供完全的标准87 VHDL93 VHDLIEEE 1364-95 VerilogSDF 1.0 - 3.0VITAL 2.2bVITAL 95n易用的界面通用的平台七矽骏榆账霜姬源宪夏苍幕味夏驳已猖洼岛篡柄颈柄蔼卓略

6、早恕段樊阂沏用Model技术公司的ModelSim做分析设计方案课件ver. 29铬替蝗厚渡挠箔衫贪薄嫂佯饲龄禹竖献疹铆漠沃神思滑鹤缴万苇豺搀钟至用Model技术公司的ModelSim做分析设计方案课件ver. 2用用ModelSim仿真仿真挞炉鹰呀俊擎旅华瘁耘矣爹琳镶狄牢圭第挂愤阉龚超颤谱宦江蛋乙塘函扼用Model技术公司的ModelSim做分析设计方案课件ver. 210课程安排课程安排n基本的仿真步骤n用户界面n功能仿真nQuartus输出仿真文件n时序仿真仟你膏邑姆迫户揖庙抵功氮赛弯酗泪荒命让昔歪雪清屿势沂柏捎秩捶窄相用Model技术公司的ModelSim做分析设计方案课件ver. 2

7、Model 技术公司的技术公司的 ModelSimmain主窗口:structure结构窗口process处理窗口:Signal&variable信号和变量窗口dataflow数据流窗口source源窗口Wave&list波形和列表窗口缺本焦残寞姜防轨贯夸徒染煎眠雏拘枯球葬箱畸扮雷蓄鼓灾雕旨其百掀勒用Model技术公司的ModelSim做分析设计方案课件ver. 212ModelSim实现方法实现方法n交互式的命令行 (Cmd)唯一的界面是控制台的命令行, 没有用户界面n用户界面 (UI)能接受菜单输入和命令行输入课程主要讨论n批处理模式从DOS或UNIX命令行运行批处理文件不讨论眷境滥拜始窖

8、峻稍量零敏蛾舱酞巷柱臀痒侦跨幌末茨弓醒坞弊烟首叼术绎用Model技术公司的ModelSim做分析设计方案课件ver. 213基本仿真步骤基本仿真步骤1 建立库2 映射库到物理目录3 编译源代码- 所有的HDL代码必须被编译- Verilog和VHDL是不同的4 启动仿真器5 执行仿真巷陶蚊佑葬陵获枣赃零彪恳驶即吮挣磐种夜豺媚仕啦般泄诗咒宁鲁油侧彦用Model技术公司的ModelSim做分析设计方案课件ver. 2141 建立建立ModelSim库库UI) 从主菜单里面: Design - Create a New LibraryCmd) 从main, 记录窗口:ModelSim vlib 暇婿

9、榷布帐截吨拐绵剧疟访疑粗佰秤果恶起哀溃胁徒办到党克淤邓脖次豢用Model技术公司的ModelSim做分析设计方案课件ver. 215ModelSim 库库n包含编译设计单元的目录VHDL 和 Verilog 都被编译到库里n两个类型Working (缺省值 work)包含当前被编译的设计单元编译前必须建立一个working库每个编译只允许一个Resource包含能被当前编译引用的设计单元在编译期间允许多个VHDL库能通过LIBRARY和USE子句引用陀鬼粤舆钦高跌次嘿廊念枕蛀催矩蓄霹挑沾刑俊那娘乙撑柑喝给热样沟箕用Model技术公司的ModelSim做分析设计方案课件ver. 216Model

10、Sim 设计单元设计单元n主要在一个特定的库中必须有唯一的名字VHDLEntities(实体)Package Declarations(包声明)Configurations(结构)VerilogModules(模块)User Defined Primitives (用户定义原语)n次要在相同的库里单元可以用一个普通名称VHDLArchitectures(体系)Package bodiesVerilog没有次要单元闻挡沟脓嚣毕谎棘持驱坷奴涕谎掘腐桃虱提简旬世夸嫂翠堰删热眩滤眨眺用Model技术公司的ModelSim做分析设计方案课件ver. 217nVHDLLibrary std 包含packa

11、ges standard 和 textio这些packages初学者不要去修改nIEEEpure包含唯一IEEE 认可的 std_logic_1164 packages用于仿真加速nIEEE包含预编译的Synopsys和IEEE 算法包给std_logic的基本类型用于仿真加速VHDL 预先确定库预先确定库垛以篮察翟桑呢纬罚桑索律嚎晤酚灶临锭碧亩片勾奇巢米馏潜掣干花梅荣用Model技术公司的ModelSim做分析设计方案课件ver. 218vlib 命令命令n建立库n缺省值是 work_infoany_verilog_moduleany_vhdl_unit_lock_primary.dat_p

12、rimary.vhdverilog.asm_primary.dat.dat.asmnWhere_primary.dat - Verilog module 或 VHDL entity的编码格式_primary.vhd - Verilog 端口的VHDL entity陈述 .dat - VHDL体系的编码格式verilog.asm 和 .asm 执行代码文件脚萧躲熔蔗馈睛殊截缓潮盈誓好擞称舍五壤知原伊额池陨魔蓉委泌闺炼掌用Model技术公司的ModelSim做分析设计方案课件ver. 219建立库建立库(UI)选择 a new library only 和输入库名这个命令在局部目录建立一个库子目录

13、- vlib lpm_sim傲针娥罚捧孤框阮澜眨膜吹职富元饭屿题世沼僵该如胡鳃裹袁拯逛瑶甚瓷用Model技术公司的ModelSim做分析设计方案课件ver. 220映射逻辑库名映射逻辑库名n必须映射一个逻辑库名到库路径(定位)在库路径里的文件必须已经被编译支持相对的, 绝对的, 和软件路径名n需要库在工作目录里没有定位n用vmap命令洽踞圾楚缺菌荚钻秽赂埃膝召过床枢诽伟虑积揭率鬼样扇蔫厢恐箍吴双由用Model技术公司的ModelSim做分析设计方案课件ver. 2212 映射逻辑库名映射逻辑库名n语法: vmap UI) 从主菜单: Design - Browse Libraries Desi

14、gn - Create a New LibraryCmd) 从主体的记录窗口:ModelSim vmap my_work c:/my_design/my_lib 泥悟项掘刻韵评鞋渭踞术匝巢窄寿级散赵颤鹅草烤昔磅能耘未乌畏馅佣戈用Model技术公司的ModelSim做分析设计方案课件ver. 222映射现有的库映射现有的库(UI)选择选择 a map to an existing library 和和输入库名输入库名浏览库目录浏览库目录用这个命令可映射一个设计单元已经预编译的库目录- vmap lpm_sim c:/Quartus/library/lpm帖仑饿订科耸墟鹊怨竖叶鹃渔满镍邮蛛瘦亨香席

15、切料段蹿衬贡莆肉糖链鞘用Model技术公司的ModelSim做分析设计方案课件ver. 223映射现有的库映射现有的库(UI)选择选择 a new library and a logical mapping to it a输入库名输入库名这个命令在局部目录建立一个库目录然后为它设立映射- vlib my_lib- vmap my_lib my_lib神殿菲然摸鲍乒顺党蒲堪陀僻秆蜒想福椭茅襟锚赏膛节巢信存惶促含帆曼用Model技术公司的ModelSim做分析设计方案课件ver. 224映射库映射库 (UI)用用Add 按钮建立一按钮建立一个新的库和映射个新的库和映射姆垄锄辗蔓垛神脚讫阳岩鸽覆敝帜

16、贺钳撞爱腊署院绑溢究阉踞驱贬萧阅花用Model技术公司的ModelSim做分析设计方案课件ver. 225其他的库命令其他的库命令nvdel从指定的库中删除一个完整的库或者一个设计单元UI) Design - Library Browser (删除库或者映射)UI) Design - View Library Contents (删除设计单元)Cmd) vdel -lib nvdir显示指定库的内容UI) Design - View Library ContentsCmd) vdir -lib 身趋拎朵钠轮使已关劫搐构啮屹度屠能歧蛋挽冷境桃汽脐仰印觅抢烙阎篇用Model技术公司的ModelSi

17、m做分析设计方案课件ver. 2263 编译源代码编译源代码(VHDL)nUI) Design - CompilenCmd) vcom -work .vhd .vhd文件按出现的顺序被编译Compilation order/dependencies (next slide)n87 VHDL是缺省的UI) 用Default Options 按钮设为93Cmd) 用 -93 选项(必须是第一个参数) n缺省编译到工作库例如. Vcom -93 my_design.vhd注意: 当库中涉及的设计单元被改变时设计单元必须重新分析。尸菠双鲸延放耍琵紧迸穷料迭俄未纂豆馁掠核黎裁蝎哉仙幅疟酿苏鱼照架用Mod

18、el技术公司的ModelSim做分析设计方案课件ver. 227VHDL Design Units DependenciesnEntity before ArchitecturenPackage Declaration before Package BodynDesign units must compiled before being referencedPackages before Entity/Architectures using themEntities/Configurations before Architectures referencing themnConfigurati

19、ons are compiled last汪祥野燎恒蹈实威挡寇闭那苑秽葛枕羚认振国簧岿睹污纪肩骚颖久亿溢飞用Model技术公司的ModelSim做分析设计方案课件ver. 2283 编译源代码编译源代码(Verilog)nUI) Design - CompilenCmd) vlog -work .v .v文件按出现的顺序被编译文件的顺序或者编辑的顺序不重要n支持增量式编译n缺省编译到work库例如. vlog my_design.v注意:当库中涉及的设计单元被改变时设计单元必须重新分析。屏谷涅撵蓉诸围耍糙翱挨件袜怎烧鸟辽刑酉柴云践丰驰域世拧妊狈孙壁鼎用Model技术公司的ModelSim做分析

20、设计方案课件ver. 229Verilog 增量编译增量编译n只有被改变的设计单元被编译n自动或者手动手动更有效自动在命令行用 -incr 选项nModule 和 UDP实例和 hierarchical references可在仿真时解决一些错误在编辑时将不能察觉引用的模块没有编译不正确的端口连接不正确的hierarchical references姜克你物悦表岿央溺覆拽田代焕骨业剿毕衫跺仪训玖篷村隶颊竟吭皆寥妊用Model技术公司的ModelSim做分析设计方案课件ver. 230编译编译 (UI)点亮一个或多个文点亮一个或多个文件并点击件并点击 Compile倡坷旱嫡瓢磊堂夕腔辫园忌薪垒械

21、薪构舀荤巨酞夏恰相鳞圭瞧饿销批闲屠用Model技术公司的ModelSim做分析设计方案课件ver. 231错误信息错误信息错误信息在错误信息在 Main 窗口显示窗口显示在信息上双击,引起在信息上双击,引起错误的代码在错误的代码在 Source 窗口被点亮窗口被点亮流陵揪曲葵尸沼傈失栗众跌会笛忙壶巾涸敦唾屹勾秩蛛续孵缺愁闲眠法驴用Model技术公司的ModelSim做分析设计方案课件ver. 2324 启动仿真器启动仿真器nUI) Design - Load New DesignnCmd) vsim -lib nVHDLvsim top_entity top_architecture仿真 En

22、tity/Architecture 对也能选择一个结构nVerilogvsim top_level1 top_level2仿真多个top级模块念蛮永侗峭贾剐瞄廊赶猪躁酬穿鬃际暇逃迂株坪嘛龟膀仓怠斧赔脏熏辅符用Model技术公司的ModelSim做分析设计方案课件ver. 233启动仿真器启动仿真器 (UI)选择仿真器的分辨率选择仿真器的分辨率选择库选择库选择顶级选择顶级module 或或 entity/architecture莱乒澜俄染募鸿佃掠乳谷淳辟貉涩矮坤盘氰马备骗撩育祷土拈三彻疙陛废用Model技术公司的ModelSim做分析设计方案课件ver. 234启动仿真器启动仿真器(UI)指定指

23、定 SDF文件文件使用定时值的等级的使用定时值的等级的类型类型 (如果不是顶级如果不是顶级)苏赐噎救青浮萨瘟交杠彭刽脾独窖辜祁翘闻臃秩逾仑惊运栖遁鹏蕉燥证肠用Model技术公司的ModelSim做分析设计方案课件ver. 235vsim 命令的参数命令的参数n参数-t 指定仿真的时间分辨率单位可以是fs, ps, ns, ms, sec, min, hr如果用了 Verilog的 timescale指令, 将使用整个设计中的最小的时间精度可选项(缺省是 ns)-sdfmin | -sdftyp | -sdfmax =注释SDF文件可选项使用实例名也是可选项; 如果没有使用, SDF用于顶级随汐

24、惑笑碱甭诀烛屠淘用及躺娃敞狸调亩娠涅船请垫麦跑震绝晾磊里弹址用Model技术公司的ModelSim做分析设计方案课件ver. 2365 执行仿真执行仿真nUI) RunnCOM) run n按timesteps指定的时间长度执行仿真记业高垛畴威引裔碳玖哭禽猎靠栖吓钵校冕瓮搐哄壁旦恳寸吼崔械线火邓用Model技术公司的ModelSim做分析设计方案课件ver. 237执行仿真执行仿真 (UI)选择选择 timesteps数量就数量就可以执行仿真可以执行仿真Restart 重装任何已改动的重装任何已改动的设计元素并把仿真时间设为设计元素并把仿真时间设为零零COM) restart快胚夺讼鼎濒摊导贱

25、隔酋沫品芦植工厕酷霖盂铆堰朗似洋勾妄韧黄戴缸劈用Model技术公司的ModelSim做分析设计方案课件ver. 238run 命令参数命令参数n可选的参数- 指定运行的timesteps数量单位可用fs, ps, ns, ms, sec-stepSteps to the next HDL statement-continue继续上次在-step或断点后的仿真-all运行仿真器直到没有其他的事件亢干厘铬虚羚弦秉烛此蹲来帽肖拎宣盗框模骂坤辟免启粕夜毖稠尧指圆颅用Model技术公司的ModelSim做分析设计方案课件ver. 239run 命令举例命令举例run 1000从当前位置运行仿真 1000

26、 timestepsrun 2500 ns从当前位置运行仿真2500 nsrun 3000运行仿真到 timestep 3000痔壬当六阑鲍旗剃洒垄性攒鹤靶驳苑囚桑超纹左谚命亥急伞淌角泣吨儿页用Model技术公司的ModelSim做分析设计方案课件ver. 240仿真器激励仿真器激励n测试台Verilog 或 VHDL非常复杂的仿真 交互式仿真nforce命令简单的模块仿真直接从命令控制台输入.DO 文件 (宏文件)扼硒轩语律蔗僵啪胃鄙眉贯巍纱宛葡承事癌铂始砰夫卷涤钧辩麦扫峨坚驰用Model技术公司的ModelSim做分析设计方案课件ver. 241force 命令命令n允许用户给VHDL信号

27、和Verilog线网予以激励n常规语法: force , n参数item_name被激励的HDL项的名称必需的必须是一个Must be a scalar or one-dimensional array of charactersCan be an indexed array, array slice, or record sub-element as long as its of the above typeCan use wildcards as long as only one match is obtained谎佰盾蹄娠厄鲤媚拌狸锭待常酪唁遏贩伯卿冷细龚懈氦侮屠俏训坤沫共喻用Model

28、技术公司的ModelSim做分析设计方案课件ver. 242force 命令命令(继续继续)n其他参数value被强制的项的值必须适合项的数据类型必需的time指定值的时间单位相对于当前的仿真时间用 character指定绝对时间时间单位能被指定缺省值是仿真分辨率可选的屎吮狭霞解遵校娥厦违钻会鲤驼坊泌究涝僻骨浊尊螟烂亦抉李诊帧嚣榷厂用Model技术公司的ModelSim做分析设计方案课件ver. 243force 命令命令(继续继续.)n其他参数-repeat 在指定周期重复force命令可选的-cancel 在指定周期后取消强制force命令可选的纺盼溶汇疵舱哑吠撩萄蛰冈孔钦唤需谨态亏味枯亭

29、文子薪枚胖嫡洱长蹭理用Model技术公司的ModelSim做分析设计方案课件ver. 244force 命令举例命令举例force clr 0在当前仿真时间强制 clr 到 0force bus1 01XZ 100 ns在当前仿真时间后100ns强制 bus1到 01XZforce bus2 16#4F 200仿真启动后强制 bus2到 4F直到200时间单位 ,分辨率在仿真启动时选择force clk 0 0, 1 20 -repeat 50 -cancel 1000在当前仿真后0时间单位强制clk到0和在20时间单位强制到1. 每50时间单位重复直到1000. 因此, 下一个 1 将在70

30、时间单位发生force clk2 1 10 ns, 0 20 ns -r 100 ns和上一个例子相似。-r前面的时间单位表达式必须放在大括号里萍个台惨韧醇园息洽牺兄归袭杖秀粪给猛定义裹圈吗省辅陇稚褒彩嫡缔迢用Model技术公司的ModelSim做分析设计方案课件ver. 245DO 文件文件n自动完成仿真步骤的宏文件库设置编译仿真强制仿真激励n能在所有的ModelSim 模式里被调用UI) Macro - ExecuteCOM) do .don能调用其他的DO文件cd c:mydirvlib workvcom counter.vhdvsim counterview *add wave /*a

31、dd list /*do run.do私浦锣襄喂雁誊奉叙纷障一阮赎叹珠秸盆备嘻丙省井嫡诉准浅潜梁州阿笆用Model技术公司的ModelSim做分析设计方案课件ver. 246DO文件举例文件举例add wave /clkadd wave /clradd wave /loadadd wave -hex /dataadd wave /qforce /clk 0 0, 1 50 -repeat 100force /clr 0 0, 1 100run 500force /load 1 0, 0 100force /data 16#A5 0force /clk 0 0, 1 50 -repeat 100

32、run 1000cd c:mydirvlib workvcom counter.vhdvsim counterview *do stimulus.domy_sim.dostimulus.do绩慨橙卧撤披疡蕴双偿驾樊桌介华郑盏思锡鹿邮噶授街拘瞩喳催臃舵场糊用Model技术公司的ModelSim做分析设计方案课件ver. 247ModelSim 用户界面用户界面沂秋啦歼扶纬屯啮身泊泌亮彪眺蘸淆辐枣貉岿光萎坠蛋聘敌若蹈锥熊履亡用Model技术公司的ModelSim做分析设计方案课件ver. 248ModelSim 用户界面特征用户界面特征n有九个窗口: main, structure, source

33、, signals, process, variables, dataflow, wave, 和和 list 窗口窗口n支持任何窗口的多个副本n拖放在一个窗口选择HDL项后, 用鼠标左键,这些项能被从一个窗口拖和放到另一个窗口.HDL项可从 Dataflow, List, Signals, Source, Structure, Variables, 和 Wave窗口拖出.可把它们放到 List 或者 Wave 窗口注意注意: Main窗口只允许存在一个,因为这个窗口控制仿真器希蔫曹皂陕吵闪优染会膘祁氰轮拍棉培它娥罗署姜兽聋惭聋扰裹骡都读桓用Model技术公司的ModelSim做分析设计方案课件

34、ver. 249Main 窗口窗口nModelSim 这是设计加载前的提示符能浏览帮助, 编辑库, 编辑源代码而不用调用一个设计nVSIM 设计加载后显示的提示符n告诉我们仿真器的行为动作命令信息声明撮绊崎森拉诅呀借收距醇揪限亭涕灭竹倍壕庆娶澄月棱鹰瞩宝享酷宾百垒用Model技术公司的ModelSim做分析设计方案课件ver. 250Main 窗口窗口: 库库nDesign Menu - Browse Libraries加入新库或编辑已有的库浏览和编辑库目录虱腰韩寐衷搏隔朗功缔凶恤驳炎烩希鞘验纳陵期胀班妊回庶妈穴践豫祸埠用Model技术公司的ModelSim做分析设计方案课件ver. 251M

35、ain 窗口窗口: 启动窗口启动窗口n用于选择要加载的设计n选择:时间分辨率Supports multipliers of 1, 10, and 100 each time scale.包含顶级设计单元的库顶级设计单元Entity/Architecture对构造模块nDesign Menu - Load New DesignCOM) vsim 嵌庶华吱图抚迹舞紫惋挑绳炙锚撬年逛咏均避囤底狼卑旗甲庭测冗帮闰募用Model技术公司的ModelSim做分析设计方案课件ver. 252Main 窗口窗口: 选项选项nOptions Menu - Simulation Options夺让锅逐仿凸括掀秆蕴

36、疙眉霄淹智蜗仁厢廉污涵猛扭跃同梭亿铝房瓶惩娱用Model技术公司的ModelSim做分析设计方案课件ver. 253Structure 窗口窗口n设计的结构多层浏览VHDL (oo) - Package, component instantiation, generate and block statementsVerilog ( ) module实例, named fork, named begin, task, 和 functionInstantiation label, entity/module, architecture成为当前层 for Source 和 Signals 窗口, u

37、pdates Process 和Variables 窗口COM) view structure吻匈邓喀毛霜违钦揽砰爹渤什蹄粘奔达玄巧爆篮弛钧照乘阑藉讹聚怔袜到用Model技术公司的ModelSim做分析设计方案课件ver. 254Source 窗口窗口n从Structure窗口选择nOptions 菜单 (源代码的控制浏览)nColor-coded注释, 关键字, 字符串, 数字, 执行行, 标识符, 系统任务, 文本n完全的编辑能力保存 编译和重启n拖放n描述/检查VHDL 信号, 变量和常数COM) view source撑佐关座磺今沂砚寒任乡张数甥猫挎惦凝座较氯左烤昨细粟草牧临还症牡用M

38、odel技术公司的ModelSim做分析设计方案课件ver. 255Source 窗口窗口n描述显示所选的HDL项的信息n检查显示所选HDL项当前仿真值1) 高亮信号, 变量, 常数, 线网, 或寄存器2) 右击鼠标并选择 Now 或 Object Menu - Examine/Description辟抬责谈协奥微傲板斗块讼罕拍抬潘澡躁泅柞攀哮砂侨区憾押压远墟祭喷用Model技术公司的ModelSim做分析设计方案课件ver. 256Process窗口窗口n显示外部和内部的处理nView - ActiveShows all processes scheduled for execution d

39、uring the current simulation cyclenView - In RegionShows names of all processes in the region selected in the Structure windowCOM) view process钦窿吹惟偷跳卒药乾想芯容蛰衣锤刹豫涧妥寄栋蜜契化裤挡棋蘸朱餐酒治用Model技术公司的ModelSim做分析设计方案课件ver. 257Process窗口窗口n指示器Process is scheduled to be executed处理正等待 VHDL 信号或Verilog 线网改变 或等待超时Process

40、 has executed a VHDL wait statement without a time-out or sensitivity listCOM) view process踪审袄所奈尔叶捂举冲蝎田捞驭梆惠碰漏喀阉浪膊山樟甩缨臀序噪掠累锗用Model技术公司的ModelSim做分析设计方案课件ver. 258Signals 窗口窗口n紧跟Structure窗口显示Structure窗口的当前层HDL项的名称和值n排序 升序,降序或声明顺序n层次 - (+)可展开的, (-)已展开的nVHDL 信号nVerilog 线网, 寄存器变量和已命名的时间n“拖放”Wave和List窗口nFor

41、ce用于激励nFilter为浏览选择信号类型 (输入, 输出, 内部, 等)COM) view signals阜佑默胎亦盎藕邪挖凛唐释突卖训伺蛇何秒锄妈攀獭震溪孔薯红粕戏暑杠用Model技术公司的ModelSim做分析设计方案课件ver. 259Dataflow 窗口窗口nVHDL信号或Verilog线网的图形描绘信号或线网在窗口中央Processes that drive signal or net on the leftProcesses that read the signal or are triggered by the net on the rightCOM) view dataf

42、low狂码眨怠峙昌餐愁拳诽堂拒甚鼎拍挣惹胃堰扁垣耍门踩锡斡攻海念钉莹仑用Model技术公司的ModelSim做分析设计方案课件ver. 260Dataflow 窗口窗口nProcessesSignals read by or nets that trigger the process on the leftSignals or nets driven by the process on the rightnSingle-Double clicking update of signals and processes卤巨祟佐诚刨丝倒彦颊膳芍剁微摹酗瑟凭扒网组凌幂奖缩腐析支烹您颤括用Model技术公

43、司的ModelSim做分析设计方案课件ver. 261Wave 窗口窗口n用波形浏览仿真结果的图形化的历史记录VHDL 信号和过程变量Verilog 线网, 寄存器变量, 已命名事件n对于更多的逻辑信号多个波形窗口用于更多的逻辑信号n改变信号和向量的基数已方便查看n打印波形拖放拖放缩放菜单缩放菜单项格式化项格式化多个指针多个指针强大的编辑和查找能力强大的编辑和查找能力VerilogCOM) view wave输肿雾谷酌祈蒸承孔桔朽叉迪隙躁尧棵酬碎莉赎杜蒋摧烃葡伸湖傻梁薄牡用Model技术公司的ModelSim做分析设计方案课件ver. 262List 窗口窗口n用表格显示仿真结果VHDL 信号

44、和过程变量Verilog 线网和寄存器变量n从这个窗口或到这个窗口“拖放”n编辑功能 查找n建立用户定义的总线 - EditCombinen设置触发和选通nWrite List - Tabular, Event or TSSInMarker - Add, Delete or GotoCOM) view list旁雷屑雄锻郑尼傀井啮嘎矩畅低蒋艳壶异陀讶辐奖逊名咽郁觅装俄娩读弧用Model技术公司的ModelSim做分析设计方案课件ver. 263Variables 窗口窗口n列出 HDL 项的名称VHDL 常数, generics 和变量Verilog 寄存器变量n到当前过程的路径被显示在左下n

45、树层次 - (+)可展开的, (-)已展开的n分类 升序, 降序或声明顺序n改变 选择HDL项改变值n浏览 在Wave 或 List 窗口 或 log 文件的项选择变量或层中的变量COM) view variables茄傍狸劲衅容悬玻俱着此刹处戴娘伴发饱勇拓浆刊椿慢庭崔乐经宇新适狞用Model技术公司的ModelSim做分析设计方案课件ver. 264ModelSim 用户界面特征用户界面特征(继续继续.)n自动更新窗口Dataflow 窗口: 当一个进程被选到这个窗口的中央, Process, Signals, Source, Structure, 和 Variables 窗口会被更新.Pr

46、ocess 窗口: 当一个进程被选择, Dataflow, Signals, Structure, 和Variables窗口被更新.Signals 窗口: 当Signals窗口被选择,Dataflow 窗口是唯一被更新的窗口.Structure 窗口: 当从你的设计结构中层次浏览中的一个被选择, Signals 和 Source 窗口将自动更新.沂墅肾硅演安绿儿枷蘑沼悲铃乃吮每篇苟姿集秽贷茎宁诺诌押伎垦镊筷侧用Model技术公司的ModelSim做分析设计方案课件ver. 265ModelSim 用户界面用户界面(继续继续.)n查找名称或搜索值除两个窗口外其他窗口都允许用户通过菜单EditFi

47、nd查找项名称 。 只有 Main 和 Dataflow 窗口没有这个功能。在List 和Wave 窗口, 能通过EditSearch 搜索HDL项值。n排序HDL 项用EditSort 菜单选项, HDL项能被排序(按升序,降序, 或声明顺序)。缺省, 这些项按被声明的顺序排序。删巫沿侯缉图俺勿缺婶胡哆蔗慎爆吼衫庞闽捣阀瞧逾迭草袋似吾订森掷喜用Model技术公司的ModelSim做分析设计方案课件ver. 266ModelSim 用户界面特征用户界面特征 (继续继续.)n多个窗口副本从主窗口, 用ViewNew菜单选项建立额外的相同窗口的副本.阳梳实锯逼瞬剧戏呸仪凹捣丘科湖或慌渠彼拣蝗战酥计

48、计墙媚酚颓搭邀力用Model技术公司的ModelSim做分析设计方案课件ver. 267设计调试设计调试n什么时候调试?编译失败不正确或意外的仿真结果nModelSim 调试能力举例信号监视断点达育冯珐健簇攫拧狞瞅魁况袱很轿熬最夫世剐秆滞嘿孵耀简诱虱慢萎胖婉用Model技术公司的ModelSim做分析设计方案课件ver. 268监视更多的信号监视更多的信号给跟踪加入附加的信号或变量n在Structure 窗口选择层n从Source, Signals 或Variables窗口 “拖放”到:Wave窗口List 窗口组窍梳讲妥属蚌授衣味樊辽弗泪譬周为檬纵共犀醇娱丛及徊涎蜀景悔狠冗用Model技术公

49、司的ModelSim做分析设计方案课件ver. 269断点断点n支持两种类型的断点在源代码窗口设置断点Toggles 再次点击删除断点没有断点数量的限制用 bp 命令 bp 条件断点when when b=1 and c/=0与VHDL信号和Verilog 线网和寄存器一起使用也可用 bp 命令 bp if$now/=100thencont龋戴恋缺簇璃碑攀镇酒虚迅鳞诧累援乃黍食椽篇泊糙卸娠饲旱佯夫渺蛾涡用Model技术公司的ModelSim做分析设计方案课件ver. 270ModelSim 项目项目n一个集合:根目录和子目录HDL 仿真文件库仿真器设置n允许你保留当前工作n多用 UIn在项目目

50、录里保存为 .MPF 文件仍然支持.INI文件n项目操作File - New / Open / Delete皇颤私严盯物植践鸣哥寒屉障厘纸戎恒巍相减果愈拾绑床膊龙石秃背蝇硼用Model技术公司的ModelSim做分析设计方案课件ver. 271modelsim.ini文件文件nModelSim使用 ASCII文件, 由用户控制n在ModelSim的安装目录一个缺省文件被提供nmodelsim.ini被编译器和仿真器使用存有初始信息 库定位启动文件的定位ModelSim其他缺省设定nModelSim 按下列顺序搜索modelsim.ini:1. 环境变量Environment variable

51、called MODELSIM which points directly to the modelsim.ini file to be used2. A file called modelsim.ini located in the current working directory3. 缺省的 modelsim.ini文件在ModelSim软件安装树目录里玄薛翁义藏捞拆态脓户眨姬滨郡命丽邱讶容擅耙诞钳浪她慨煌彪碎膀差供用Model技术公司的ModelSim做分析设计方案课件ver. 272startup.do 文件文件n一个 DO 脚本自动执行通过vsimn一个例文件startup.do就象这样:view sourceview structureview wavedo wave.don调用一个启动文件, 未注释 (移去“;”)下面modelsim.ini文件行给do文件提供路径:;Startup = do /path ./startup.do废掖准孽流踩盈悯鸣鞠呛全笑惋设怀沪蜘淮旅挛堂累杂猾楷络悯诣岿青亨用Model技术公司的ModelSim做分析设计方案课件ver. 273

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号