飞思卡尔8位单片机MC9S0802嵌入式应用技术的硬件基础

上传人:博****1 文档编号:569503968 上传时间:2024-07-30 格式:PPT 页数:24 大小:230.04KB
返回 下载 相关 举报
飞思卡尔8位单片机MC9S0802嵌入式应用技术的硬件基础_第1页
第1页 / 共24页
飞思卡尔8位单片机MC9S0802嵌入式应用技术的硬件基础_第2页
第2页 / 共24页
飞思卡尔8位单片机MC9S0802嵌入式应用技术的硬件基础_第3页
第3页 / 共24页
飞思卡尔8位单片机MC9S0802嵌入式应用技术的硬件基础_第4页
第4页 / 共24页
飞思卡尔8位单片机MC9S0802嵌入式应用技术的硬件基础_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《飞思卡尔8位单片机MC9S0802嵌入式应用技术的硬件基础》由会员分享,可在线阅读,更多相关《飞思卡尔8位单片机MC9S0802嵌入式应用技术的硬件基础(24页珍藏版)》请在金锄头文库上搜索。

1、第二章第二章 嵌入式应用技术的硬件基础嵌入式应用技术的硬件基础 主要内容v 数字电路基础知识 v 嵌入式应用的常用元器件v 嵌入式应用技术的常用术语2.1 数字电路基础知识2.1 数字电路基础知识数字电路基础知识2.1.1 嵌入式应用中常用的数制及其转换嵌入式应用中常用的数制及其转换(1)单片机中常用的进制)单片机中常用的进制 任意数任意数S,(基数为基数为N)均可展开为:均可展开为:S=KiNi其中其中Ki是第是第i位的系位的系数,它可能是数,它可能是0N-1共共N个数码中的任意一个。不同的数制基数个数码中的任意一个。不同的数制基数N不不同,数字码不同。同,数字码不同。 十进制:十进制: S

2、=Ki10i 基数:基数:N=10 二进制:二进制: S=Ki2i 基数:基数:N=2 十六进制:十六进制: S=Ki16i2.1 数字电路基础知识2.1.1 嵌入式应用中常用的数制及其转换嵌入式应用中常用的数制及其转换(2)常用进制之间的相互转换)常用进制之间的相互转换 二进制与十进制间的相互转换二进制与十进制间的相互转换 二进制二进制十进制十进制 : 按展开式计算即可。按展开式计算即可。 十进制十进制二进制二进制 : 整数部分与小数部分分别转换。整数部分:除整数部分与小数部分分别转换。整数部分:除2取余。第一个余数为最低有效位,最后一个余数为最高有效位,即得取余。第一个余数为最低有效位,最

3、后一个余数为最高有效位,即得到的余数先为低位后为高位。小数部分:乘到的余数先为低位后为高位。小数部分:乘2取整,先为高位后为低位。取整,先为高位后为低位。2.1 数字电路基础知识二进制与十六进制间的相互转换二进制与十六进制间的相互转换 二进制二进制十六进制十六进制 : 以小数点为分界。整数部分从最右边开始,每以小数点为分界。整数部分从最右边开始,每4位分成一组,若含最高位的组不足位分成一组,若含最高位的组不足4位,在其左边加位,在其左边加0补足补足4位。小数部分位。小数部分从最左边开始,向右每从最左边开始,向右每4位一组,若含最低位的一组不足位一组,若含最低位的一组不足4位,在其右边位,在其右

4、边加加0补足补足4位。分割后,将每组用一位十六进制数码取代即可。例如,把位。分割后,将每组用一位十六进制数码取代即可。例如,把1011111011.110111011转为十六进制,方法如下:转为十六进制,方法如下: 0010 1111 1011.1101 1101 1000 2 F B . D D 8 即即1011111011.110111011B=2FB.DD8H。 十六进制十六进制二进制二进制 : 将每将每1位十六进制数用位十六进制数用4位二进制数取代,若最位二进制数取代,若最前面或最后面有前面或最后面有0则去之。例如,将十六进制数则去之。例如,将十六进制数C35A.FE转为二进制数,转为

5、二进制数,方法如下方法如下: C 3 5 A F E 1100 0011 0101 1010.1111 1110 即即C35A.FEH=1100001101011010.1111111B。2.1 数字电路基础知识十六进制与十进制相互转换十六进制与十进制相互转换 十六进制十六进制十进制十进制: 按展开式计算即可。按展开式计算即可。 十进制十进制十六进制十六进制: 整数部分与小数部分分别转换。整数部分:除整数部分与小数部分分别转换。整数部分:除以以16取余数,先为低位后为高位。小数部分:乘以取余数,先为低位后为高位。小数部分:乘以16取整数,先为高取整数,先为高位后为低位。位后为低位。2.1 数字

6、电路基础知识 TTL是是Transistor-Transistor Logic的缩写,的缩写,TTL电路电路是晶体管是晶体管-晶体管逻辑电路的简称,这种数字集成电路的输入端和输出端的电路晶体管逻辑电路的简称,这种数字集成电路的输入端和输出端的电路结构都采用了半导体三极管。结构都采用了半导体三极管。 TTL电路电路属于双极型数字集成电路,双极型数字集成电路是利用属于双极型数字集成电路,双极型数字集成电路是利用电子和空穴两种不同极性的载流子进行电传导的器件,其主要特点是电子和空穴两种不同极性的载流子进行电传导的器件,其主要特点是信号传输延时短,开关速度快、工作频率高,但制造工艺较复杂。信号传输延时

7、短,开关速度快、工作频率高,但制造工艺较复杂。 TTL电路电路是双极型数字集成电路中的主流产品,它具有结构简单、是双极型数字集成电路中的主流产品,它具有结构简单、品种齐全、功耗适中、速度快、使用方便等优点,各种电子计算机、品种齐全、功耗适中、速度快、使用方便等优点,各种电子计算机、仪器仪表和自动控制设备都经常采用它作为基本逻辑元件。仪器仪表和自动控制设备都经常采用它作为基本逻辑元件。2.1.2 数字电路中几个名词解释数字电路中几个名词解释2.1 数字电路基础知识 CMOS电路电路是在是在MOS电路的基础上发展起来的一种互补对称场电路的基础上发展起来的一种互补对称场效应管集成电路。效应管集成电路

8、。MOS是是Metal-Oxide-Simiconductor的缩写,的缩写,MOS管是金属管是金属-氧化物氧化物-半导体场效应管的简称,这种类型的管子是由半导体场效应管的简称,这种类型的管子是由金属、氧化物和半导体组成的。而场效应管金属、氧化物和半导体组成的。而场效应管(FET-Field Effect Transistor)也是一种具有也是一种具有PN结的半导体器件,它是利用电场的效应结的半导体器件,它是利用电场的效应来控制电流,因此得名。来控制电流,因此得名。 CMOS电路电路,大致有两大类型:一类是普通系列,大致有两大类型:一类是普通系列 ;另一类是高速;另一类是高速系列,可与系列,可

9、与TTL的的74LS系列电路兼容。系列电路兼容。 2.1.2 数字电路中几个名词解释数字电路中几个名词解释2.1 数字电路基础知识 电平就是电位,在数字电路中,人们习惯用高、低电平一词来描述电平就是电位,在数字电路中,人们习惯用高、低电平一词来描述电位的高低。电位的高低。高电平高电平是一种状态,而是一种状态,而低电平低电平则是另外一种不同的状态,则是另外一种不同的状态,它们表示的都是一定的电压范围,而不是一个固定不变的数值。它们表示的都是一定的电压范围,而不是一个固定不变的数值。 例如,在例如,在TTL电路中,常规定高电平的额定值为电路中,常规定高电平的额定值为3V,低电平的额定,低电平的额定

10、值为值为0.2V。而从。而从0V到到0.8V都算作低电平,从都算作低电平,从2V到到5V都算作高电平。都算作高电平。 在数字电路中,如果用数字在数字电路中,如果用数字1表示高电平状态,用数字表示高电平状态,用数字0表示低电平表示低电平状态,则称之为状态,则称之为正逻辑正逻辑;如果用数字;如果用数字0表示高电平状态,用数字表示高电平状态,用数字1表示低表示低电平状态,则称之为电平状态,则称之为负逻辑负逻辑。一般情况使用正逻辑。一般情况使用正逻辑。 2.1.2 数字电路中几个名词解释数字电路中几个名词解释2.1 数字电路基础知识 2.1.3 门电路门电路v 三种最基本逻辑关系三种最基本逻辑关系 v

11、 三种最基本的逻辑门三种最基本的逻辑门 v 其它基本逻辑门其它基本逻辑门 v 三态输出与非门三态输出与非门(3S门门) 2.1 数字电路基础知识三种最基本逻辑关系三种最基本逻辑关系 “与与”逻辑关系逻辑关系 : 当决定一件事情的各个条件全部具备时,这当决定一件事情的各个条件全部具备时,这件事才会发生,这样的因果关系我们称之为件事才会发生,这样的因果关系我们称之为“与与”逻辑关系。逻辑关系。 “或或”逻辑关系逻辑关系 : 在决定一件事情的各个条件中,只要具备一在决定一件事情的各个条件中,只要具备一个或个或 者一个以上的条件,这件事就会发生,这样的因果关系我们称之者一个以上的条件,这件事就会发生,

12、这样的因果关系我们称之为为“或或”逻辑关系。逻辑关系。 “非非”逻辑关系逻辑关系 : 非就是相反,就是否定。非就是相反,就是否定。 A B Z A R Z 灯泡 B A Z 灯泡 灯泡 电源 电源 电源 与逻辑关系例 或逻辑关系例 非逻辑关系例2.1 数字电路基础知识三种最基本的逻辑门三种最基本的逻辑门A BZ0 0 00 1 01 0 01 1 1 与门:与门:实现与逻辑关系的电路称为与门。表达式为实现与逻辑关系的电路称为与门。表达式为:Z=AB 或门:或门:实现或逻辑关系的电路称为或门。表达式为实现或逻辑关系的电路称为或门。表达式为:Z=A+B 非门:非门:实现非逻辑关系的电路称为非门。表

13、达式为实现非逻辑关系的电路称为非门。表达式为:Z=A与门逻辑真值表与门逻辑真值表 A BZ0 0 00 1 11 0 11 1 1或门逻辑真值表或门逻辑真值表 AZ0 11 0非门逻辑真值表非门逻辑真值表 2.1 数字电路基础知识其它基本逻辑门其它基本逻辑门与非门:与非门:与非门逻辑功能是:只有所有输入为与非门逻辑功能是:只有所有输入为1时,输出才是时,输出才是0,否则输出为否则输出为1。其表达式为:。其表达式为:Z=A B。或门:或门:或非门逻辑功能是:只有所有输入为或非门逻辑功能是:只有所有输入为0时,输出才是时,输出才是1,只,只要有一个或一个以上的输入为要有一个或一个以上的输入为1,输

14、出就是,输出就是0。其的表达式为:。其的表达式为:Z=A+B。异或门和同或门:异或门和同或门:异或门有两个输入端异或门有两个输入端A、B,一个输出端,一个输出端Z。异。异或门的逻辑功能是:当两个输入端相异(一个为或门的逻辑功能是:当两个输入端相异(一个为1,另一个为,另一个为0)时,输)时,输出为出为1,当两个输入相同时,输出为,当两个输入相同时,输出为0。其的表达式为:。其的表达式为:Z=A B ,用符号,用符号 代表异或。异或门的倒相就是异或非门,也叫同或门,其的表达式为:代表异或。异或门的倒相就是异或非门,也叫同或门,其的表达式为:Z=A B,或,或Z=A B。 2.1 数字电路基础知识

15、三态输出与非门三态输出与非门(3S门门) 三态输出与非门,又称三态电路、三态门、三态输出与非门,又称三态电路、三态门、TS(Three State或或Tristate)输出电路、输出电路、3S门。普通门只有门。普通门只有“1”和和“0”两种状态,三态门两种状态,三态门除有这两种状态外,还有第三种状态除有这两种状态外,还有第三种状态高阻状态高阻状态(或称禁止状态或称禁止状态)。三态。三态门中有个通常记为门中有个通常记为E的使能端的使能端(也叫三态控制端也叫三态控制端),当,当E=0时,输出端时,输出端Z与与输入端输入端A相同,而当相同,而当E=1时,输出端时,输出端Z呈高阻状态。利用这种特点,通

16、过呈高阻状态。利用这种特点,通过E=1控制让出输出端,供其它线路使用。实现这种三态输出关系的电路控制让出输出端,供其它线路使用。实现这种三态输出关系的电路称为三态门。三态门的符号见下图,其逻辑真值表见下表。称为三态门。三态门的符号见下图,其逻辑真值表见下表。 与非与非门逻辑符号符号E A Z Z0 0 00 1 11 任意高阻三态门真值表三态门真值表返回返回2.1 数字电路基础知识2.2 嵌入式应用的常用元器件嵌入式应用的常用元器件2.2.1 二极管二极管 原理原理:由由P型半导体和型半导体和N型半导体形成的型半导体形成的P-N结,在界面处两侧形成结,在界面处两侧形成空间电荷层,有自建电场。二

17、极管最重要的特性是单向导电性,即电流空间电荷层,有自建电场。二极管最重要的特性是单向导电性,即电流只能从二极管的正极流入,负极流出。根据这个特性,可以将二极管广只能从二极管的正极流入,负极流出。根据这个特性,可以将二极管广泛应用于整流、检波、限幅、元件保护等场合。在数字电路中,通常将泛应用于整流、检波、限幅、元件保护等场合。在数字电路中,通常将二极管作为一个开关元件来使用。二极管作为一个开关元件来使用。 正向偏置正向偏置将二极管的正极接在高电位端,负极接在低电位端,二极将二极管的正极接在高电位端,负极接在低电位端,二极管就会导通。管就会导通。 反向偏置反向偏置正极接在低电位端,负极接在高电位端

18、,此时二极管中几正极接在低电位端,负极接在高电位端,此时二极管中几乎没有电流流过,二极管处于截止状态。乎没有电流流过,二极管处于截止状态。 二极管的开关特性二极管的开关特性表现在正向导通与反向截止两种状态之间的切换。表现在正向导通与反向截止两种状态之间的切换。二极管从反向截止到正向导通所需要的时间很短,一般可以忽略不计,二极管从反向截止到正向导通所需要的时间很短,一般可以忽略不计,但从正向导通到反向截止的转换则需要一定的时间。但从正向导通到反向截止的转换则需要一定的时间。 2.2 嵌入式应用的常用元器件2.2.2 半导体三极管半导体三极管(1)三极管的结构)三极管的结构 三极管由两个三极管由两

19、个PN结和三个电极构成。常见的三极管结构有平面型结和三个电极构成。常见的三极管结构有平面型和合金型两类。硅管主要是平面型,锗管主要是合金型。和合金型两类。硅管主要是平面型,锗管主要是合金型。 NPN型和型和PNP型三极管具有相同的工作原理,但使用连接电源的极性不同,管型三极管具有相同的工作原理,但使用连接电源的极性不同,管子间的电流方向不同。各种三极管都分为发射区、基区和集电区三个子间的电流方向不同。各种三极管都分为发射区、基区和集电区三个区域,三个区域的引出线分别称为发射极、基极和集电极,并分别用区域,三个区域的引出线分别称为发射极、基极和集电极,并分别用E、B和和C来表示。发射区和基区之间

20、的来表示。发射区和基区之间的PN结叫发射结,集电区和基区之结叫发射结,集电区和基区之间的间的PN结叫集电结。结叫集电结。电路符号电路符号BCEBCENPNNPN型晶体管型晶体管PNPPNP型晶体管型晶体管2.2 嵌入式应用的常用元器件(2)三极管的三种工作状态)三极管的三种工作状态 截止状态:发射结反偏或截止状态:发射结反偏或VI小于小于PN结的导通压。结的导通压。 放大状态放大状态 :发射结正偏,集电结反偏。:发射结正偏,集电结反偏。 饱和状态:电流饱和状态:电流IBIBS,集电结和发射结电压均正偏。,集电结和发射结电压均正偏。 +Vcc+TcbeRRbVIiBiCC=0iCIB1IB2B3

21、IB4IB5IB =IBSABCDEvCEVCCVCC/RCICS0.7VI2.2 嵌入式应用的常用元器件NPN型三极管为三种工作状态的特点型三极管为三种工作状态的特点工作工作状态状态 条件条件 工作特点工作特点 偏置情况偏置情况 集电极电流集电极电流 管压降管压降 近似的等效电路近似的等效电路 c c、e e间间等效电阻等效电阻 截止截止IB0发射结发射结0.5V集电结反偏集电结反偏IC0VCCVCE很大,约为几百很大,约为几百千欧,相当于开千欧,相当于开关断开关断开放大放大0IB0.5V集电结反偏集电结反偏IB=ICVCE= VCC -ICRC可变可变饱和饱和IB IB发射结正偏发射结正偏

22、且且0.5V集电结正偏集电结正偏IC=ICSVCC/RCVCE=VCC0.3V很小,约为几百很小,约为几百欧,相当于开关欧,相当于开关闭合闭合bceICIBIBcbe0.7VICIBcbe0.7V2.2 嵌入式应用的常用元器件(3)三极管的计算实例)三极管的计算实例 例例2.1 电路及参数如图电路及参数如图2-18所示,所示,设输入电压设输入电压VI=3V,三极管的,三极管的VBE=0.7V。 若若60,试判断三极管是否饱,试判断三极管是否饱和,并求出和,并求出IC和和VO的值。的值。 将将RC改为改为6.8k,重复以上计,重复以上计算。算。 将将RC改为改为6.8k,再将,再将Rb改为改为6

23、0k,重复以上计算。,重复以上计算。+V (+12V)+TcbeRRbCCVi100KC10K+VO2.2 嵌入式应用的常用元器件2.2.3锁存器锁存器 提供数据锁存的元件,在总线电路中,锁定数据输出,使输出端不提供数据锁存的元件,在总线电路中,锁定数据输出,使输出端不随输入端变化。锁存器由几个随输入端变化。锁存器由几个D触发器组成,有输入触发器组成,有输入/输出使能控制端和输出使能控制端和时钟。在实际应用中常常利用锁存器保存要显示的数据,以便数据能在时钟。在实际应用中常常利用锁存器保存要显示的数据,以便数据能在指定时间内保持在显示的输入端,从而实现指定时间内保持在显示的输入端,从而实现I/O

24、口的多路复用。口的多路复用。输出控制 G D 输出01 1101 0100 Qn1 高阻 8位锁存器位锁存器74LS373功能表功能表2.2 嵌入式应用的常用元器件(1)PLD发展历史及概述发展历史及概述 早期的可编程逻辑器件只有可编程只读存贮器早期的可编程逻辑器件只有可编程只读存贮器(PROM)、紫外线可擦、紫外线可擦除只读存贮器除只读存贮器(EPROM)和电可擦除只读存贮器和电可擦除只读存贮器(EEPROM)三种。其后,出现三种。其后,出现了一类结构上稍复杂的可编程芯片,即可编程逻辑器件了一类结构上稍复杂的可编程芯片,即可编程逻辑器件(PLD),它能够完,它能够完成各种数字逻辑功能。成各种

25、数字逻辑功能。 20世纪世纪80年代中期,年代中期,Altera和和Xilinx分别推出了分别推出了类似于类似于PAL结构的扩展型结构的扩展型CPLD(Complex Programmab1e Logic Device)和与标准门阵列类似的和与标准门阵列类似的FPGA(Field Programmable Gate Array),它们都具有体系结构和逻辑单元灵活、集成度高以及适用范围,它们都具有体系结构和逻辑单元灵活、集成度高以及适用范围宽等特点。宽等特点。 2.2.4可编程逻辑器件可编程逻辑器件PLD(Programmab1e Logic Device)2.2 嵌入式应用的常用元器件 FPG

26、A、CPLD类型的结构是由三大部分组成的。类型的结构是由三大部分组成的。 一个二维逻辑块阵列一个二维逻辑块阵列(LAB),构成了,构成了PLD器件的逻辑组成核心。器件的逻辑组成核心。 输入输出块。输入输出块。 连接逻辑块的连线资源:由各种长度的连线线段组成,其中也有连接逻辑块的连线资源:由各种长度的连线线段组成,其中也有一些可编程的连接开关,它们用于逻辑块之间、逻辑块与输入输出块一些可编程的连接开关,它们用于逻辑块之间、逻辑块与输入输出块之间的连接。之间的连接。(2)FPGA/CPLD 返回返回2.2 嵌入式应用的常用元器件2.3 嵌入式应用技术的常用术语嵌入式应用技术的常用术语模模拟拟信信号

27、号:时时间间连连续续、数数值值也也连连续续的的物物理理量量,如如温温度度、压压力力、流流量量、速速度度、声声音音等等。在在工工程程技技术术上上,为为了了便便于于分分析析,常常用用传传感感器器、变变换换器将模拟量转换为电流、电压或电阻等电学量。器将模拟量转换为电流、电压或电阻等电学量。 数数字字信信号号:是是一一种种二二值值信信号号,用用两两个个电电平平(高高电电平平和和低低电电平平)分分别来表示两个逻辑值(逻辑别来表示两个逻辑值(逻辑1和逻辑和逻辑0)。)。数字量:数字量:需处理的信息包括开关量、脉冲量和数码。需处理的信息包括开关量、脉冲量和数码。 只读存储器只读存储器(ROM,Read On

28、ly Memory):数据可以读出,但不可数据可以读出,但不可以修改,所以称之为只读存储器。它具有断电后数据不丢失的特点。以修改,所以称之为只读存储器。它具有断电后数据不丢失的特点。 闪速存储器闪速存储器(Flash Memory):是一种快速的是一种快速的E2PROM。 2.3 嵌入式应用技术的常用术语2.3 嵌入式应用技术的常用术语嵌入式应用技术的常用术语串串行行通通信信:数数据据在在单单条条1位位宽宽的的传传输输线线上上按按时时间间先先后后一一位位一一位位地地传传送送,其其优优点点是是节节省省传传输输线线,但但是是数数据据传传输输率率较较低低。适适于于长长距距离离、中中低低速速通信。通信

29、。 并并行行通通信信:将将数数据据的的各各位位同同时时在在多多根根并并行行传传输输线线上上进进行行传传输输。数数据据的各位同时由源到达目的地。适合近距离、高速通信。的各位同时由源到达目的地。适合近距离、高速通信。数字量:数字量:需处理的信息包括开关量、脉冲量和数码。需处理的信息包括开关量、脉冲量和数码。 中断:中断:指中央处理器指中央处理器CPU正在处理某件事情的时候,外部发生了某正在处理某件事情的时候,外部发生了某一事件,请求一事件,请求CPU迅速去处理,迅速去处理,CPU暂时中断当前的工作,转入处理暂时中断当前的工作,转入处理所发生的事件,处理完后,再回到原来中断的地方,继续原来的工作,所

30、发生的事件,处理完后,再回到原来中断的地方,继续原来的工作,这样的过程称为中断。这样的过程称为中断。 实时操作系统实时操作系统RTOS(Real Time Operating System):指的是一种指的是一种操作环境,运行于嵌入式系统上,并在可预测的时间间隔内,程序能对操作环境,运行于嵌入式系统上,并在可预测的时间间隔内,程序能对特定的事件做出反应。特定的事件做出反应。 返回返回2.1 数字电路基础知识 本章的主要内容有:(本章的主要内容有:(1)数字电路基础知识;()数字电路基础知识;(2)嵌入式应用)嵌入式应用的常用元器件;(的常用元器件;(3)嵌入式应用技术的常用术语。重点介绍嵌入式应)嵌入式应用技术的常用术语。重点介绍嵌入式应用中的一些基础知识和术语,嵌入式应用技术与数字电路的关系很密用中的一些基础知识和术语,嵌入式应用技术与数字电路的关系很密切,数字电路是嵌入式应用开发的基础。第切,数字电路是嵌入式应用开发的基础。第1节给出了数字电路的基础节给出了数字电路的基础知识;第知识;第2节讲述了嵌入式应用的常用元件;第节讲述了嵌入式应用的常用元件;第3节介绍了嵌入式应用节介绍了嵌入式应用中的常用术语。了解这些基础知识,对于后续章节的学习有着至关重中的常用术语。了解这些基础知识,对于后续章节的学习有着至关重要的作用。要的作用。本章小结本章小结返回返回2.1 数字电路基础知识

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号