全套电子课件:数字电子技术-第四套

上传人:博****1 文档编号:569462078 上传时间:2024-07-29 格式:PPT 页数:312 大小:4.52MB
返回 下载 相关 举报
全套电子课件:数字电子技术-第四套_第1页
第1页 / 共312页
全套电子课件:数字电子技术-第四套_第2页
第2页 / 共312页
全套电子课件:数字电子技术-第四套_第3页
第3页 / 共312页
全套电子课件:数字电子技术-第四套_第4页
第4页 / 共312页
全套电子课件:数字电子技术-第四套_第5页
第5页 / 共312页
点击查看更多>>
资源描述

《全套电子课件:数字电子技术-第四套》由会员分享,可在线阅读,更多相关《全套电子课件:数字电子技术-第四套(312页珍藏版)》请在金锄头文库上搜索。

1、项目一项目一 两地控制指示电路两地控制指示电路知识目标知识目标了解数字信号和数字电路的基本概念熟悉不同数制之间的转换掌握三种基本逻辑关系掌握常用的复合逻辑关系熟悉常用的集成门电路 技能目标技能目标掌握基本集成门电路的功能测试 掌握集成逻辑门电路逻辑功能的测试方法知识链接知识链接链接一 数字电路的基本知识链接二 数制和码制的基本知识链接三 逻辑函数链接四 常用的集成逻辑门电路项目实训项目实训任务一 常用集成门电路的认识任务二 门电路逻辑功能的测试任务三 集成逻辑门电路逻辑功能的测试 知识链接知识链接链接一链接一 数字电路的基本知识数字电路的基本知识一、数字信号与模拟信号一、数字信号与模拟信号现代

2、电子线路所处理的信号大致可分为两大类:一类为模拟信号;另一类为数字信号。处理模拟信号的电路称为模拟电路,处理数字信号的电路称为数字电路。模拟信号是指时间上和数值上都是连续的信号。模拟信号一般是指模拟真实世界物理量的电压或电流,如模拟话音、温度、压力等一类物理量的信号。处理这类信号时,考虑的是放大倍数、频率失真、非线性失真及相位失真等,着重分析波形的形状、幅度和频率的变化。 数字信号是指时间上和数值上都是离散的信号,即信号在时间上不连续,总是发生在一系列离散的瞬间;在数值上量化,只能按有限多个增量或阶梯取值。信号所表现的形式是一系列高、低电平组成的脉冲波,即信号总是在高电平和低电平之间来回变化。

3、处理数字信号时,重要的是要能正确区分出信号的高、低电平,并正确反映电路的输出、输入之间的关系,至于高、低电平值精确度则无关紧要。数字电路主要研究电路输入、输出状态之间的相互关系,即逻辑关系。分析和设计数字电路的数学工具是逻辑代数,它是英国数学家布尔于1849年提出的,因此也称布尔代数。 二、数字电路的特点与分类二、数字电路的特点与分类1数字电路的特点数字电路的特点(1)工作信号是二进制的数字信号,反映在电路上就是低电平和高电平两种状态(即0和1两个逻辑值)。(2)在数字电路中,研究的主要问题是电路的逻辑功能,即输入信号的状态和输出信号的状态之间的逻辑关系。数学工具是逻辑代数(3)对组成数字电路

4、的元器件的精度要求不高,只要在工作时能够可靠地区分0和1两种状态即可。(4)电路结构简单,稳定可靠。有利于实现数字电路集成化。(5)数字电路抗干扰能力强。(6)数字电路中元件处于开关状态,功耗较小。在计算机、数字通信、自动控制、数字仪器及家用电器等技术领域中得到广泛的应用。2数字电路的分类数字电路的分类(1)按电路组成结构分为分立元件和集成电路两大类。其中集成电路按集成度(在一块硅片上包含的逻辑门电路或元件的数量)可分为小规模(SSI)、中规模(MSI)、大规模(LSI)和超大规模(VLSI)集成电路。(2)按电路所用器件分为双极型(如TTL、ECL、I2L、HTL)和单极型(如NMOS、PM

5、OS、CMOS)电路。(3)按电路逻辑功能分为组合逻辑电路和时序逻辑电路。链接二链接二 数制和码制的基本知识数制和码制的基本知识一、数制一、数制1常用数制常用数制数制是计数进位制的简称。 (1)十进制)十进制在十进制数中采用了0、1、2、9十个不同的数码;在计数时,逢十进一,借一当十。各个数码处于十进制数的不同数位时,所代表的数值是不同的。 对于任意一个十进制数的数值,都可以按位权展开式中十进制数的任意一个数码;m、n正整数,n表示整数部分数位,m表示小数部分数位。例如,526的数值可表示为526=5100+210+61上述十进制数按位权展开的表示方法,可以推广到任意进制的计数制。(2)二进制

6、二进制数只有0和1两个数码,在计数时逢二进一及借一当二。二进制的基数是2,每个数位的位权值为2的幂。因此,二进制数可以按位权展开。式中第i位的数码(0或1);n、m正整数;2i第i位的位权值。例如:二进制数1101.01可展开为(1101.01)2=123+122+021+120+021+122(3)八进制)八进制八进制数有0、1、2、3、4、5、6、7八个数码,在计数时逢八进一及借一当八。八进制的基数是8,每个数位的位权值为8的幂。八进制数的下标可用8或O(Octadic的缩写)表示为例如:(107.4)8=182+081+780+481因为23=8,所以3位二进制数可用1位八进制数来表示。

7、(4)十六进制)十六进制十六进制数有0、1、2、3、4、5、6、7、8、9、A、B、C、D、E、F十六个数码,在计数时逢十六进一及借一当十六。十六进制的基数是16,每个数位的位权值是16的幂。十六进制数的小标可用16或H(Hex的缩写)表示为 例如,(BD2.3C)16=11162+13161+2160+3161+12162因为24=16,所以4位二进制数可用1位十六进制数来表示。在计算机应用系统中,二进制主要用于机器内部的数据处理,八进制和十六进制主要用于书写程序、指令,十进制主要用于运算最终结果的输出。另外,十六进制数还经常用来表示内存的地址,例如(8FD9)16表示要寻找该地址的存储单元

8、。2数制转换数制转换(1)二、八和十六进制数转换为十进制数)二、八和十六进制数转换为十进制数R进制数转换为十进制数时按位权展开,然后将各项数值按十进制相加,就可得到等值的十进制数。解: (10101.11)2 = 124 + 023+122+021 + 120 + 121 + 122 = (21.75)10 (165.2)8 = 182 + 681 + 580 + 281 = (117.25)10 (2A.8)16 = 2161 + 10160 + 8161 = (42.5)10【例1-1】(1)将二进制数(10101.11)2转换为十进制数。(2)将八进制数(165.2)8转换为十进制数。

9、(3)将十六进制数(2A.8)16转换为十进制数。(2)十进制数转换为其他进制数)十进制数转换为其他进制数十进制数转换为R进制数,都可用基数乘除法。对于整数部分,可采用“除R取余、逆序排列”法;对于小数部分,可采用“乘R取整、顺序排列”法。【例1-2】将十进制数(43.6875)10转换为二进制数。解:整数部分,用“除2取余、逆序排列”法得所以:(43)10=(101011)2小数部分,用“乘2取整,顺序排列”法得 0.67852=1.3750取整 =1最高位 0.3752=0.750取整 =00.752=1.50取整 =10.52=1.0取整 =1最低位所以:(0.6875)10=(0.10

10、11)2综合以上两部分得: (43.6875)10=(101011.1011)2注意小数部分,凡无穷尽者,可采用类似十进制数四舍五入的办法保留最后一位有效数字。(3)二进制数和八、十六进制数之间的转换)二进制数和八、十六进制数之间的转换每一位八进制数正好对应3位二进制数,每一位十六进制数正好对应4位二进制数。二进制数转换为八进制数时,只要以小数点为界,整数部分向左、小数部分向右分成3位一组,各组分别用对应的一位八进制数表示即可得到所求的八进制数,两头不足3位时,可分别用0补足。二进制数到十六进制数的转换方法与此相同,只是小数点向左或向右分别按4位一组进行分组即可。(3)二进制数和八、十六进制数

11、之间的转换)二进制数和八、十六进制数之间的转换每一位八进制数正好对应3位二进制数,每一位十六进制数正好对应4位二进制数。所以二进制数转换为八进制数时,只要以小数点为界,整数部分向左、小数部分向右分成3位一组,各组分别用对应的一位八进制数表示,即可得到所求的八进制数,两头不足3位时,可分别用0补足。同理,二进制数到十六进制数的转换方法与此相同,只是小数点向左或向右分别按4位一组进行分组即可。几种制进数之间的对应关系如表1-1所示。表1-1几种制进数之间的对应关系十进制数二进制数八进制数十六进制数012345678910111213141500000001001000110100010101100

12、111100010011010101111001101111011110123456710111213141516170123456789ABCDEF【例1-3】将二进制数(1011010.10111)2分别转换为八进制数和十六进制数。解:二进制数化为八进制数,按3位一组得【例1-4】将十六进制数(7F.E5)16转换为八进制数。解:先把每一位十六进制数用4位二进制数表示出来为即:(7F.E5)16=(1111111.11100101)2再将二进制数按每3位一组划分得八进制数为故有 (7F.E5)16=(177.712)8数字系统中,需要把十进制数的数值、不同的文字、符号等其他信息用二进制代码

13、来表示才能处理。二、二、码制码制1.代码代码用以表示十进制数码、字母、符号等信息的一定位数的二进制数称为代码。必须指出的是,二进制码不一定表示二进制数,它的含义是人们预先约定而赋予的。2.编码编码为了建立这种代码与所表示信息一一对应的关系,用一定位数的二进制数来表示十进制数码、字母、符号等信息,称为编码。编码主要有二进制编码,二十进制编码等。二十进制码(BCD码):由于十进制数有09十个数码,需要4位二进制数码来对应表示一位十进制数码。用4位二进制数b3b2b1b0来表示十进制数中的 09十个数码。简称BCD码。常用的BCD码有8421码、2421码、5421码、余3码等。8421BCD码:用

14、四位自然二进制码中的前十个码字来表示十进制数码,因各位的权值依次为8、4、2、1,故称8421码。 2421码的权值依次为2、4、2、1;余3码由8421码加0011得到;格雷码是一种循环码,其特点是任何相邻的两个码字,仅有一位代码不同,其它位相同。由于每位码是以四位二进制数为一组来表示的,所以8421BCD码与十进制数之间的转换可以直接以组为单位来进行。【例1-5】将(138)10转换为对应的8421BCD码。即(138)10=(000100111000)8421BCD【例1-6】将(100100000011.10000101)8421BCD码转换为对应的十进制数。即(10010000001

15、1.10000101)8421BCD=(903.85)10解:注意:在8421BCD码中不允许出现10101111这六个代码,因为十进制数09中没有与之对应的数字符号,这些代码称为伪码,也常称为“无关码”或“无关项”。链接三链接三 逻辑函数逻辑函数逻辑代数是分析和设计数字电路的重要数学工具,它反映了逻辑变量的运算规律。逻辑代数中的变量只有两种取值0或1。0和1并不表示数量的大小,而只是表示两种对立的逻辑状态。逻辑代数有三种基本运算:与、或、非。将这三种基本运算简单组合可构成复合逻辑,例如:与非、或非、与或非、同或、异或等。一、基本概念一、基本概念二进制数中的“1”和“0”不仅能够表示二进制数,

16、还可以表示许多对立的逻辑状态。在分析和设计数字电路时,所用的数学工具是逻辑代数,又称布尔代数。1逻辑变量逻辑代数和普通代数一样,用字母A、B、C、X、Y、Z等代表变量,称为逻辑变量。但这两种代数中变量的含义有本质的区别,逻辑代数中的变量只有两种取值0或1。0和1并不表示数量的大小,而只是表示两种对立的逻辑状态,即“是”与“非”、“开”与“关”、“真”与“假”、“高”与“低”等。2逻辑关系通常,把反映“条件”和“结果”之间的关系称为逻辑关系。如果以电路的输入信号反映“条件”,以输出信号反映“结果”,此时各输入、输出之间也存在确定的逻辑关系。数字电路就是实现特定逻辑关系的电路,因此,又称逻辑电路。

17、逻辑电路的基本单元是逻辑门,它们反映了基本的逻辑关系。3正逻辑和负逻辑根据1和0代表逻辑状态的含义不同,有正、负逻辑之分。例如,认定“1”表示事件发生,“0”表示事件不发生,则形成正逻辑系统;反之则形成负逻辑系统。同一逻辑电路,既可用正逻辑表示,也可以用负逻辑表示。在本书中,只要未作特别声明,均采用正逻辑。二、基本逻辑关系二、基本逻辑关系有三种最基本的运算:与运算、或运算和非运算。逻辑代数的所有逻辑关系都可以由这三种基本运算关系组合得到。A A、B B都具备时,事件都具备时,事件L L才发生。才发生。设设开关闭为开关闭为“1 1”开关开为开关开为“0 0”灯亮为灯亮为“1 1”不亮为不亮为“0

18、 0”则则A A、B B、与灯、与灯L L的关系为的关系为“与与”逻辑逻辑与逻辑与逻辑1. 1. “与与”逻辑运算和与门(串联)逻辑运算和与门(串联)ELABL=AL=A B B逻辑式逻辑式逻辑与逻辑与(逻辑乘)(逻辑乘)0 00 00 01 10 00 00 01 10 01 11 11 1全全1 1出出1 1有有0 0出出0 0A AL LB B逻辑真值表逻辑真值表逻辑符号逻辑符号& &A AB BL L(a)符号(b)波形图以上介绍的是两个变量的与逻辑,多变量的与逻辑表达式可表示为Y=ABCD或Y=ABCD2. 2. “或或”逻辑运算和或门逻辑运算和或门A A、B B只有一个具备时,事件

19、只有一个具备时,事件L L就发生。就发生。A AE EL LB B开关闭为开关闭为“1 1”开关开为开关开为“0 0”灯亮为灯亮为“1 1”不亮为不亮为“0 0”则则A A、B B与灯与灯L L的关系为的关系为“或或”逻辑逻辑L=A+BL=A+B或逻辑式或逻辑式逻辑或逻辑或( (逻辑加逻辑加) )A AL LB B1 10 01 10 00 00 00 01 11 11 11 11 1全全0 0出出0 0有有1 1出出1 1逻辑真值表逻辑真值表图1-4或门逻辑符号与波形在数字电路中,实现或逻辑功能的电路称为或门。或门逻辑符号如图1-4(a)所示。图1-4(b)表示或门输入端A、B波形与输出端Y

20、波形的对应关系多变量或逻辑表达式可表示为Y=A+B+C+D+3. 3. “非非”逻辑运算和非门逻辑运算和非门A A具备时具备时 ,事件,事件L L不发生;不发生;A A不具备时,事件不具备时,事件L L发生。发生。A AE EL LR R非逻辑非逻辑开关闭为开关闭为“1 1”开关开为开关开为“0 0”灯亮为灯亮为“1 1”灯不亮为灯不亮为“0 0”则开关则开关A A与灯与灯L L的关系为的关系为“非非”逻辑逻辑逻辑式逻辑式逻辑非逻辑非逻辑反逻辑反A AL L0 01 11 10 0有有1 1出出0 0有有0 0出出1 1逻辑真值表逻辑真值表由图1-6可见,非门的逻辑功能是:输出状态与输入状态相

21、反。因此,非门通常又称作反相器。图1-6非门逻辑符号与波形基本逻辑的简单组合称为复合逻辑。&ABFL逻辑式逻辑式逻辑真值表逻辑真值表逻辑符号逻辑符号001101011110ALB三、常用复合逻辑三、常用复合逻辑1与非逻辑和与非门与非逻辑和与非门 由真值表可知,与非门的逻辑功能为:当输入有低电平0时,输出为高电平1;当输入全为高电平1时,输出为低电平0。可简记为“有0出1、全1出0”。 2或非逻辑和或非门或非逻辑和或非门 1BLFA将输入变量先进行或运算,然后再进行非运算。将输入变量先进行或运算,然后再进行非运算。0 00 01 11 10 00 00 01 10 01 11 10 0A AL

22、LB B逻辑式逻辑式逻辑真值表逻辑真值表逻辑符号逻辑符号或非门的逻辑功能为:当输入全为低电平0时,输出为高电平1;当输入有高电平1时,输出为低电平0。可简记为“有1出0、全0出1”。3与或非逻辑与或非逻辑与或非逻辑是与、或、非三种逻辑的复合,它是先与再或后非,其表达式为 逻辑符号逻辑符号4 4、异或逻辑和同或逻辑:、异或逻辑和同或逻辑:(1)异或逻辑)异或逻辑当两个输入变量A、B的取值不同时,输出变量Y为1;当A、B的取值相同时,输出变量Y为0,这种逻辑关系叫做异或逻辑。可简记为“相异出1、相同出0”,其逻辑表达式为读作Y等于A异或B。实现异或逻辑功能的电路叫异或门.(2)同或逻辑)同或逻辑当

23、两个输入变量A、B的取值相同时,输出变量Y为1;当A、B的取值不同时,输出变量Y为0,这种逻辑关系叫做同或逻辑,可简记为“相同出1、相异出0”,其逻辑表达式为Y=AB=读作Y等于A同或B。实现同或逻辑功能的电路叫同或门,其逻辑符号如图1-7(e)所示。异或和同或的逻辑符号异或和同或的逻辑符号真值表真值表异或同或链接四链接四 常用的集成逻辑门电路常用的集成逻辑门电路逻辑门电路可以用电阻、电容、二极管、三极管、场效应管等元件构成,称为分立元件门,其中二极管、三极管和场效应管作为开关元件使用。也可以将构成门电路的所有器件及连接导线制作在同一块半导体基片上,成为集成逻辑门电路。分立元件门电路的体积大、

24、工作速度低、可靠性差,在数字电路产品中广泛采用体积小、质量轻、功耗低、速度快、可靠性高的集成门电路。一、数字集成电路的类型和型号一、数字集成电路的类型和型号常用的数字集成电路有2大类:第一类为晶体管晶体管逻辑电路,简称TTL电路;第二类为金属一氧化物一半导体场效应晶体管逻辑电路,简称为MOS型集成电路,常用的是CMOS电路。TTL电路主要有TTL(标准TTL)、HTTL(高速TTL)、STTL(肖特基TTL)、LTTL(低功耗TTL)、LSTTL(低功耗肖特基TTL)、ALS(先进低功耗肖特基TTL)等6个系列等;CMOS电路主要有CMOS电路(标准CMOS)系列、HC(高速CMOS)系列、H

25、CT(与TTL兼容的HCMOS)系列。国际通用的TTL门电路有74(商用)和54(军用)两个系列。对应TTL电路的6种类型,分别为54/74(标准系列)、54/74S(肖特基系列)、54/74LS(低功耗肖特基系列)、54/74ALS(先进低功耗肖特基系列)等。我国生产的TTL集成电路型号与国际5474系列TTL电路系列完全一致,并采用了统一型号,共5部分组成。各部分命名及含义见表。表1-9 国标数字集成电路命名及意义第1部分第2部分(器件类型)第3部分(器件的系列品种)第4部分(器件的工作温度范围)第5部分(器件的封装)符号意义符号意义符号意义符号意义C中国制 造TECWFBJDTTLECL

26、CMOS稳压器线性放大器非线性放大器接口电路音响、电视电路数字CERM070C-4085C-5585C-5585CWBFDPJKT陶瓷扁平塑料扁平全密封扁平陶瓷直插塑料直插黑陶瓷直插金属菱形金属圆形注:表内CT系列中,第3部分数字的第一位为系列代号(1为标准系列,同5474系列;2为高速系列,同国际54H74H系列; 3为肖特基系列,同国际54S74S系列; 4为低功耗肖特基系列,同国际54LS74LS系列);后面3位为品种代号,同国际一致。例如型号为CT4004CP的集成门电路为国产TTL,低功耗肖特基6反相器(相当于74LS04),工作温度070C,塑料直插封装。CC4011CD为国产CM

27、OS电路,四2输入与非门,工作温度070C,陶瓷直插封装。二、常用集成逻辑门二、常用集成逻辑门常用TTL和CMOS门电路的符号、功能表达式及特点分别汇总于表1-22(a)和(b)中。说明:说明:三态门三态门三态门(OC)是指逻辑门的输出除有高、低电平两种状态外,还有第三种状态高阻状态的门电路 ,高阻态相当于隔断状态。 三态门都有一个EN控制使能端,来控制门电路的通断。计算机里面用 1和0表示是、非两种逻辑,但有时候这是不够的。举例来说:内存里面的一个存储单元,读写控制线处于低电位时,存储单元被打开,可以向里面写入;当处于高电位时,可以读出,但是不读不写,就要用高电阻态,既不是5v,也不是0v。

28、传输门传输门传输门(TG)就是一种传输模拟信号的模拟开关,指可以控制通路通断的门,导通时,一端的信号可以传到另一端,不导通时,一端信号不能传到另一端。CMOS传输门由一个P沟道和一个N沟道增强型MOSFET并联而成,TP和TN是结构对称的器件,它们的漏极和源极是可互换的。在正常工作时,模拟开关的导通电阻值约为数百欧,当它与输入阻抗为兆欧级的运放串接时,可以忽略不计。CMOS传输门除了作为传输模拟信号的开关之外,也可作为各种逻辑电路的基本单元电路。TTL门电路具有运行速度快,电源电压固定,有较强的带负载能力等特点。CMOS数字集成电路与TTL数字集成电路相比,有许多优点,如工作电掘电压范围宽,静

29、态功耗低,抗干扰能力强,输入阻抗高,成本低等。三、集成逻辑门的主要参数及注意事项三、集成逻辑门的主要参数及注意事项1集成逻辑门的主要参数(1)低电平输出电源电流ICCL指所有输入端悬空,输出端空载,输出低电平时,电源提供给器件的电流。 (2)高电平输出电源电流ICCH指每个门各有一个以上的输入端接地(最好全部接地),输出端空载,输出高电平时,电源提供的电流。(3)总的静态功耗PCCLICCL和ICCH标志着器件静态功耗的大小,通常ICCLICCH,所以静态功耗为PCCL=VCCICCH。 (4)低电平输入电流IiL指被测输入端接地,其余输入端悬空时,由被测输入端流出的电流值。希望IiL越小越好

30、。 (5)高电平输入电流IiH指被测输入端接高电平,其余输入端接地,流入被测输入端的电流值。希望IiH越小越好。因为IiH很小,微安级,一般免于测试。 (6)扇出系数N0指门电路能驱动同类门的个数,它是衡量门电路带负载能力的一个参数。扇出系数NO的大小由驱动门输出端提供的驱动能力和负载门输入端对电流的需求两者决定。N0=I0L/IiL一般N08其中:IoL是指当VOL达到规定输出的低电平的规范值(一般为0.4V)时,门电路允许灌入的最大负载电流。(7)噪声容限)噪声容限噪声容限指在保证输出高低电平在允许的变化范围内,输入电平允许的波动范围。噪声容限反映门电路抗干扰能力的大小。噪声容限分为低电平

31、噪声容限UNL和高电平噪声容限UNH。在实际的电路中,往往是多个门电路相互连接组成系统,前一级门的输出就是后一级门的输入。可用图1-10示意描述TTL的噪声容限。图1-10噪声容限由图可知: 低电平噪声容限UNL=UIL(max)UIL高电平噪声容限UNH=UIHUIH(min)UIL(max)和UIH(min)的数值越接近,则UNL和UNH的数值就越大,电路的抗干扰能力就越强。掌握以上这些参数的物理意义并正确选用,对我们合理、安全应用器件是很重要的。2TTL电路使用中的注意事项电路使用中的注意事项(1)正确选择电源电压)正确选择电源电压TTL电路的电源均采用+5V,波动允许在5%的范围内(4

32、.5V5.5V之间)。电源电压超过5.5V,易损坏器件;电源电压低于4.5V,则易导致器件出现逻辑错误。使用时,不能将电源与地颠倒接错。否则将会因为过大电流而造成器件损坏。(2)对输入端的处理)对输入端的处理TTL门电路的各个输入端不能直接与高于+5.5V和低于-0.5V的低内阻电源连接。对多余的输入端最好不要悬空。虽然悬空相当于高电平,并不影响“与门、与非门”的逻辑关系,但悬空容易受到干扰,可能导致电路误动作。多余输入端要根据实际需要作适当处理。例如“与门、与非门”的多余输入端可直接(或者经电阻)接到电源上;或将多余的输入端并联使用。对于“或门、或非门”的多余输入端应直接接地,或将多余的输入

33、端并联使用。(3)对于输出端的处理)对于输出端的处理TTL集成门电路的输出更不允许与电源或地短路。除“三态门、集电极开路门”外,电路的输出端不允许并联使用。另外,插入或拔出集成电路时,务必切断电源,否则会因电源冲击而造成永久损坏。3CMOS集成电路使用中的注意事项电路使用中的注意事项(1)正确选择电源)正确选择电源CMOS电路的工作电源电压范围比较宽,允许在+3+18V范围内。选择电源电压时首先考虑要避免超过极限电源电压。其次要注意电源电压的高低将影响电路的工作频率。(2)对输入端的处理)对输入端的处理CMOS电路的输入端都设置二极管保护电路。为了防止输入端保护二极管反向击穿,输入电压必须处在

34、VDD和Vss之间。输入端的电流一般不能超过1mA,如果可能出现较大电流时,必须在输入端串联适当电阻实施限流保护。多余的输入端不能悬空,应根据实际要求接入适当的电压,。例如“与门、与非门”的多余输入端可接到电源上;对于“或门、或非门”的多余输入端可接低电平。(3)对输出端的处理)对输出端的处理CMOS电路的输出端不能端直接与VDD或VSS连接,否则将导致器件损坏。 除三态输出器件外,不允许两个器件的输出端并联使用。另外,由于CMOS电路输人阻抗高,容易受静电感应发生击穿,除电路内部设置保护电路外,在使用和存放时应注意静电屏蔽;焊接CMOS电路时,一般用20W内热式电烙铁,而且烙铁要有良好的接地

35、线;也可以用电烙铁断电后的余热快速焊接;禁止在电路通电情况下焊接。更不能在通电的情况下,拔、插集成电路。【例1-7】指出图1-11所示电路中,TTL的输出各是什么状态(0或1)。解:Y1=0;Y2=1;Y3=0;Y4=0【例1-8】指出图1-12所示电路中,CMOS的输出各是什么状态(0或1)。解:CMOS电路由于具有输入电阻大、静态电流几乎为0的特点,输入端电位的高低与外接电阻的大小无关,而直接取决于外接电位的高低。因此有:Y1=1;Y2=1;Y3=1;Y4=1【例1-9】要实现表达式所示功能,请改正图2-38电路中的错误。解:图(a):悬空端改接高电平;图(b):接地端改接高电平;图(c)

36、:悬空端改接低电平;图(d):异或门只有两个输入端。(a)CMOS(b)TTL(c)TTL(d)1、2输入输入4与非门与非门74LS00和和6反相器反相器74LS042、2输入输入4与非门与非门CC4011和和6反相器反相器CC4069项目二项目二 表决器电路表决器电路知识目标知识目标了解逻辑函数的基本表示形式及相互间的转换掌握逻辑函数的基本定理及运算规则掌握卡诺图法进行函数的化简方法掌握组合逻辑电路的分析方法和设计方法技能目标技能目标掌握组合逻辑电路分析方法 掌握组合逻辑电路的设计方法掌握三人表决器的设计方法知识链接知识链接链接一 逻辑函数的表示形式及基本定律链接二 逻辑函数的化简链接三 组

37、合逻辑电路的分析和设计项目实训项目实训任务一 基于multisim进行逻辑函数的化简任务二 三人表决器的仿真设计任务三 组合逻辑电路的设计与测试链接一链接一 逻辑函数的表示形式及基本定律逻辑函数的表示形式及基本定律数字电路中输入变量与输出变量之间的关系称为逻辑函数。数字电路中输入变量与输出变量之间的关系称为逻辑函数。逻辑函数的表示方法有真值表、逻辑函数表达式、逻辑图、逻辑函数的表示方法有真值表、逻辑函数表达式、逻辑图、卡诺图等形式。几种形式之间可以进行相互转换。卡诺图等形式。几种形式之间可以进行相互转换。一、真值表一、真值表真值表可以直观地反映逻辑函数输入与输出间的对应关系。对于有n个输入的数

38、字电路,每一个输入变量的取值有“0”和“1”两种,n个输入变量则有2n种取值组合,将全部的输入变量的取值组合和相应的输出结果在表格中列出,即得到逻辑函数的真值表。三人表决器中:假设以A、B、C分别表示3个人的表决情况并作为输入,“1”表示同意,“0”表示不同意;用Y表示表决结果并作为输出,“1”表示表决通过,“0”表示表决未通过。根据表决器的功能,得到的真值表如表2-1所示具有唯一性。即:同一个逻辑函数,只有一个真值表。用“与”、“或”、“非”等逻辑关系组合起来可以表示逻辑函数的输入与输出间的逻辑关系。由此得到关系式的就是逻辑表达式。由真值表可以写出逻辑函数的表达式。真值表写出表达式的方法为:

39、找出表中每组输出为1对应的输入组合,转换为变量形式,组合中为“1”的取值,转换为对应的原变量,为“0”的取值,转换为对应原变量的反变量,各变量进行与运算(逻辑乘),得到一个乘积项;所以的乘积项再进行或运算(逻辑加)即得到对应的表达式。在前述三人表决器中真值表,输出为1对应的输入变量取值分别为:011、101、110、111四组,转换为变量形式为 、 、 、 。对应的逻辑表达式为Y= 。二、逻辑表达式二、逻辑表达式通过逻辑表达式可以写出真值表。方法为:把表达式中n个输入变量的2n个取值组合有序地写入真值表中;根据表达式所表示的逻辑关系确定对应的输出,填入表中即可。例Y=ABC逻辑函数可以用表示门

40、电路的逻辑符号连接而成,由此得到的电路图,称为逻辑图。逻辑图可以直观地反映逻辑函数的实现情况。由表达式可以直接画出逻辑图,根据逻辑图也可以写出逻辑函数的表达式。以前述三人表决器为例,其逻辑表达式为对应的逻辑图如图所示三、逻辑图三、逻辑图四、逻辑代数的基本定律四、逻辑代数的基本定律在实现同一逻辑功能的前提下,逻辑表达式越简单,则需要门的数量越少,电路越简单。因此,逻辑表达式的化简是进行逻辑电路分析和设计必不可少的过程。逻辑代数的基本定律(或称基本公式)反映了逻辑运算的基本规律。101律律A0=0A+0=AA1=AA+1=12交换律交换律AB=BAA+B=B+A3结合律结合律A(BC)=(AB)C

41、 A+(B+C)=(A+B)+C4分配律分配律A(B+C)=AB+ACA+(BC)=(A+B)(A+C)5互补律互补律6重叠律重叠律AA=AA+A=A7反演律(摩根律)反演律(摩根律)8还原律还原律9吸收律吸收律A(A+B)=AA+AB=A五、常用公式五、常用公式利用前面介绍的基本定律,可以得到如下常用公式。熟练地掌握和使用这些公式将为化简逻辑函数带来很多方便。(1)公式 (2)公式(3)公式(4)公式六、基本规则六、基本规则逻辑代数中还有三个基本规则:代入规则、反演规则和对偶规则。这三个基本规则和基本定律一起构成了完整的逻辑代数系统,可以用来对逻辑函数进行描述、推导和变换。1代入规则在逻辑等

42、式中,若将等式两边所出现的同一变量以一个逻辑函数代换后,该逻辑等式仍然成立。因为任何一个逻辑函数式也和任何一个逻辑变量一样,只有0和1两种可能的取值。原等式对某一变量成立,而将该变量以另一逻辑函数代替,等式自然也成立。2反演规则对于任意一个逻辑函数Y,若将表达式中所有的“”换成“+”,“+”换成“”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的新的逻辑函数表达式就是原函数Y的反函数Y。这就是反演规则。3对偶规则对于任意一个逻辑函数Y,若将表达式中所有的“”换成“+”,“+”换成“”,“0”换成“1”,“1”换成“0”,而变量形式不变,并保持原来的运算优先

43、级,则得到一个新函数,称为Y的对偶式。对偶规则是:如果两个逻辑函数表达式相等,那么它们各自的对偶式一定相等。链接二链接二 逻辑函数的化简逻辑函数的化简一、化简的意义一、化简的意义从真值表得出的逻辑函数表达式,往往不是最简式。逻辑函数表达式与逻辑图有直接关系,表达式越简单,则实现该逻辑函数所需的逻辑关系就越少。这样既可节省集成电路数目,降低系统的成本;又可减少焊接点,大大提高电路的可靠性。因此需要对逻辑函数进行化简。一个逻辑函数可以有多种不同的表达式.从逻辑函数的真值表可以直接得到与或表达式,同时其他形式的表达式都容易展开成与或表达式,而最简的与或表达式可以比较容易地得到其他类型的最简表达式。最

44、简与或式的标准是:(1)乘积项的个数应该最少。(2)每个乘积项中所含变量的个数最少。化简逻辑函数的方法,常用的有代数法和卡诺图法。最简与或表达式最简与或表达式一个逻辑函数可以有多种不同的表达式,例如: 与或表达式 或与表达式 与非与非表达式 或非或非表达式 与或非表达式二、代数化简法二、代数化简法代数化简法就是利用逻辑代数的基本定律和常用公式进行化简。1并项法并项法利用互补律 将两项合并为一项,合并时消去一个变量.2吸收法吸收法利用吸收律A +AB = A,吸收掉AB这一项。3消去法消去法利用常用公式消去多余因子A.4配项法配项法利用重叠律A+A =A来配项,以获得更加简单的化简结果 (并项法

45、)(并项法)(吸收法)(吸收法)(消去法)(消去法)= BC+C= C(配项法)(配项法)上述几种方法是最常用的代数化简法。化简逻辑函数时可能使用其中一种方法,也可能要兼用几种方法,才能得到化简的结果。【例2-2】化简函数解:=B由例2-2可以看出,作为数字电路化简的一个基本工具,应该掌握一些常用的代数化简法。对于三变量和四变量的化简,更多使用的是的卡诺图化简法,相对于代数化简法,使用卡诺图法化简要容易得多。三、逻辑函数的卡诺图化简三、逻辑函数的卡诺图化简1逻辑函数的最小项逻辑函数的最小项(1)最小项的定义)最小项的定义在n变量的逻辑函数中,如果一个乘积项含有n个变量,而且每个变量以原变量或以

46、反变量的形式在该乘积项中仅出现一次,则该乘积项称为n变量的最小项。例如,逻辑变量有A、B、C三个,则逻辑变量的组合有23=8个,根据最小项的定义,相应最小项有: 可见三个变量共有8个最小项。对于n个变量来说,共有2n个最小项。注意:提到最小项时,一定要说明变量的数目,否则最小项将失去意义。例如,对三变量的逻辑函数来说是最小项,而对于四变量的逻辑函数则不是最小项。(2)最小项的编号)最小项的编号为便于叙述和书写,通常都要对最小项进行编号。编号的方法是,把使最小项为1的那一组变量取值组合视为二进制数,与其对应的十进制数,就是该最小项的编号。例如,三变量A、B、C的最小项 ,使它的值为1所对应的变量

47、取值组合是000,相应的十进制数是“0”,因此最小项的编号是0,并记作m0。同理,最小项 对应的变量取值组合为001,编号为1,记作m1,依此类推, =m2, =m3,ABC=m7,如表2-4所示。(3)逻辑函数的最小项表达式)逻辑函数的最小项表达式任何一个逻辑函数,都可以用若干最小项之和来表示,即最小项表达式。逻辑函数最小项表达式可由真值表直接写出,并且和真值表一样,也具有惟一性,即一个逻辑函数只有一个最小项表达式。真值表可以直接写出逻辑函数的最小项表达式,用逻辑代数的基本定律和公式,也可将逻辑函数的其他表达式展开或变换成最小项表达式。【例2-3】已知逻辑函数的真值表如表2-5所示,求函数Y

48、的最小项表达式。解:由表2-5可知,使Y=1的输入变量A、B、C的取值组合有010、011、110三组,相应的最小项有三项,所以,最小项表达式为写成: Y=m2+m3+m6=m(2,3,6) 【例2-4】写出函数Y(A、B、C)=AB+BC+CA的最小项表达式。解:2卡诺图化简逻辑函数卡诺图化简逻辑函数(1)卡诺图的画法卡诺图的画法在有n个变量的逻辑函数中,如果两个最小项中只有一个变量不相同(互为反变量),而其余变量都相同,则称这两个最小项为逻辑相邻项。例如,三变量A、B、C的两个最小项ABC和就是逻辑相邻项。卡诺图是一种能够直观地表示出n变量全部最小项的逻辑相邻关系的方格图,也是逻辑函数的一

49、直表达形式。卡诺图利用小方格代表最小项,并按照任何两个逻辑相邻的最小项所处的小方格的几何位置相邻的原则画出。所谓几何位置相邻是指:上、下、左、右紧挨着的小方格;或每一行、每一列的首尾两个小方格。图2-2卡诺图(a)2变量卡诺图,(b)3变量卡诺图(c)4变量卡诺图(2)逻辑函数卡诺图表示法)逻辑函数卡诺图表示法卡诺图中的每一个小方格都对应一个最小项,而任何一个逻辑函数均可用最小项表达式表示,那么只要把函数中包含的最小项在卡诺图中填1,没有的项填0(或不填),就可得到逻辑函数的卡诺图。例如,函数Y(A,B,C)=m(2,3,6)的卡诺图如 【例2-5】将逻辑函数用卡诺图表示。解:由变量可知,这是

50、一个四变量函数,应画出四变量卡诺图。由该表达式可知,逻辑函数的各乘积项均不是最小项形式。应首先按例2-4的方法,将表达式转换为最小项表达式,然后将表达式中所包含的最小项在卡诺图中的相应方格内填1,如图所示。(3)化简方法)化简方法卡诺图化简逻辑函数的本质,是合并最小项以消去相应的变量。将仅有一个变量不同的两个最小项合并起来,就可消去该变量,例如, 。合并同类项的化简反映在卡诺图上,就是把最小项为1的两个相邻方格圈起来,就可消去那个取值不同的变量。在卡诺图中,凡是几何相邻的最小项均可合并,合并时可以消去取值不同的变量,留下取值相同的变量。两个最小项合并成一项时可以消去一个变量,四个最小项合并成一

51、项时可以消去两个变量,八个最小项合并成一项时可以消去三个变量。一般地说2n个最小项合并成一项时可以消去n个变量。卡诺图化简逻辑函数的本质,是合并最小项以消去相应的变量。将仅有一个变量不同的两个最小项合并起来,就可消去该变量。反映在卡诺图上是把填1的两个相邻方格圈起来,就可消去那个取值不同的变量。凡是几何相邻的2n个方格(2,4,8个方格)圈起来,消去n个取值不同的变量,留下取值相同的变量。即:两个方格合并可以消去一个变量,四个方格合并成一项时可以消去两个变量,八个方格合并成一项时可以消去三个变量。合并时应注意以下几点:画圈的方格数必须是2n个(n=0,1,2,3,)。所画圈的数目应最少,每个圈

52、内的方格数应尽可能多。一个方格可被多个圈公用,但每个圈内必须包含有新的方格。同一行(列)的首尾以及四个角为相邻。消去每个圈内取值不同的变量,据此把各个圈得到的与项相加(或)起来,便得到化简后的最简与或表达式。【例例2-6】化简化简Y=m(0,2,3,7,8,10,11,13,15)。3具有约束项的逻辑函数的化简具有约束项的逻辑函数的化简(1)约束项和约束条件)约束项和约束条件用8421BCD码表示一位十进制数09作为输入时,只需要其中10个组合00001001,而1010、1011、1100、1101、1110、1111这6种组合是多余项。这些不会出现的变量取值组合所对应的最小项叫做约束项,也

53、叫无关项。(2)约束条件的表示方法)约束条件的表示方法在真值表中,用叉号()表示,即在对应于约束项变量取值组合的函数值处,记上“”,以区别于其他取值组合。在逻辑表达式中,用等于0的条件等式表示。例如,8421BCD码表示十进制数的约束条件是: 或 d(10,11,12,13,14,15)=0在卡诺图中,用叉号“”表示,即在各约束项对应的方格内填入“”,以区别于其他最小项。(3)有约束条件的逻辑函数的化简)有约束条件的逻辑函数的化简利用卡诺图化简逻辑函数合并最小项时,可根据化简的需要,包含或去掉约束项。即在画图时,既可把“”视作1,也可视作0,这完全取决于对化简是否有利。这是因为各约束条件的取值

54、恒为0,显然函数不会受影响。在函数化简中,合理利用约束项,可使逻辑函数化简结果更为简单。四、逻辑表达式不同形式间的相互转换四、逻辑表达式不同形式间的相互转换根据市场使用的数字集成电路的情况,可以选择逻辑表达式的不同形式来实现逻辑电路功能。常用的逻辑表达式有与或式及与非式两种形式,相互之间可以进行转换,方法为:在卡诺图中首先圈1得到最简与或式,然后将最简与或式两次求反就可以得到与非式。【例2-9】化简,并用与或门及与非门实现Y。解:用快速填表法可得函数Y的卡诺图如图2-9(a)所示,由图2-9(a)得最简与或式与非式对比图2-9(b)、图2-9(c)可看出,虽然两种门电路均可实现函数Y,且使用的

55、门电路都是四个,但是图2-9(b)要使用两种类型的门电路,即三个与门和一个或门;而图2-9(c)仅需要一种类型的门电路,即四个与非门,显然图2-9(c)在工程上实现起来要简单一些。链接三链接三 组合逻辑电路的分析和设计组合逻辑电路的分析和设计一、组合逻辑电路的特点一、组合逻辑电路的特点逻辑电路按照逻辑功能的不同可分为两大类:一类是组合逻辑电路(简称组合电路),另一类是时序逻辑电路(简称时序电路)。组合逻辑电路的特点在于任何时刻的输出仅仅取决于该时刻输入信号的状态,与电路原来的状态无关。电路不包含具有记忆(存储)功能的元件或电路且不存在反馈回路。二、组合逻辑电路的分析方法二、组合逻辑电路的分析方

56、法分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计是否合理。组合逻辑电路的设计步骤分为四步: 1.根据设计要求,确定输入、输出变量的个数,并对它们进行逻辑赋值(即确定0和1代表的含义)。2.根据逻辑功能要求列出真值表。3.根据真值表利用卡诺图进行化简得到逻辑表达式。 4.根据要求画出逻辑图。5.选择元器件实现逻辑电路。【例2-10】试分析图2-11所示电路的逻辑功能。解:(1)写出逻辑表达式并化简(a)(b)(2)列出真值表分别见表2-7(a)和(b)所示。(3)功能说明:图(a):一位半加器,F1为本位和,F2为进位。图(b):一位数值比较器,当A=B时F2=1,当AB时

57、F3=1,当AB时F1=1。三、组合逻辑电路的设计方法三、组合逻辑电路的设计方法组合逻辑电路设计的目的是根据功能要求设计最佳电路。电路的设计步骤分为四步: 1.根据设计要求,确定输入、输出变量的个数,并对它们进行逻辑赋值(即确定0和1代表的含义)。2,根据逻辑功能要求列出真值表。3.根据真值表利用卡诺图进行化简得到逻辑表达式。 4.根据要求画出逻辑图。5.选择元器件实现逻辑电路。【例2-11】两地一灯电路设计:设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上的电灯,实现如下功能:在上楼前,用楼下开关打开电灯,上楼后,用楼上开关关灭电灯;或者在下楼前,用楼上开关打开电灯,下楼后,用楼下开关关灭电

58、灯。解:(1)确定输入、输出变量的个数及赋值。设楼上开关为A,楼下开关为B,灯泡为Y。并设A、B闭合时为1,断开时为0;灯亮时Y为1,灯灭时Y为0。(2)列出真值表,如表3-3所示。(3)化简。由真值表可得:(4)画逻辑图。若要求用异或门实现,则逻辑电路图如图2-12(a)所示。若要求用与非门实现,将表达式转换成与非形式,即画出逻辑电路图如图2-12(b)所示。图2-12 例2-11的逻辑电路图 项目三项目三 抢答器电路抢答器电路知识要求知识要求了解编码和译码的基本知识熟悉编码器的功能和特点熟悉译码器的功能和特点熟悉数据选择器的功能和特点熟悉常用芯片的扩展应用方法技能要求技能要求掌握编码器和译

59、码器的应用掌握集成显示译码器的应用熟悉常用芯片的扩展应用方法 知识链接知识链接链接一 编码器与译码器链接二 数据选择器与加法器项目实训项目实训任务一 编码器和译码器的功能分析任务二 基于multisim实现不同逻辑函数任务三 三人抢答器的设计任务四 编码译码及数显电路的性能测试 链接一链接一 编码器与译码器编码器与译码器用二进制代码表示某一信息的过程称为编码,实现编码功能的电路称为编码器。在数字系统中,常需要将某一信息(输入)变换为某一特定的代码(输出)。按照编码方式不同,编码器可分为普通编码器和优先编码器; 按照输出代码种类的不同,可分为二进制编码器和非二进制编码器。非二进制编码器主要是十进

60、制编码器。译码是编码的逆过程,即将每一组输入二进制代码翻译成为一个特定的输出信号。实现译码功能的逻辑电路称为译码器。常用的译码器电路有二进制译码器、二十进制译码器和显示译码器等。一、二进制编码器一、二进制编码器若编码器输入信号的个数N与输出变量的位数n满足N2n,则此编码器称为二进制编码器。现以图3-1所示的4线2线编码器为例说明其工作原理。该编码器用2位二进制数分别代表4个信号,2位输出为Y1、Y0;4个输入信号分别是2位二进编码器I0、I1、I2、I3,输入信号高电平有效。其真值表如表3-1所示。 从表3-1中可以看出:当某一个输入端为高电平时,就输出与该输入端相对应的代码;任一时刻只能有

61、一个编码请求,若同时出现2个以上的编码请求,编码器将无法工作,这种编码方式称为普通编码。 图3-1二、优先编码器二、优先编码器实际的数字系统中,特别是在计算机系统中,常常会有几个部件同时发出请求信号的可能,而在同一时刻只能给其中一个部件发出允许操作信号。因此,必须根据轻重缓急规定多个对象允许操作的先后次序,即优先级别。这种同时有多个编码请求时,电路只对其中优先级别最高的信号进行编码的逻辑电路称为优先编码器。 常用的优先编码器是148系列的8线3线优先编码器,现以74LS148为例来说明8线3线优先编码器编码器的功能, 该芯片的引脚排列及逻辑符号如图3-2所示。功能表如表3-2所示。 (a) 引

62、脚排列 (b)逻辑符号 图3-2 74LS148引脚排列和符号表3-274LS148功能表从表3-2中可以看出:1为使能输入端:为0时编码器可以进行编码;为1时编码器被禁止;2为编码输入端,低电平有编码请求;编码器的优先级别为最高,然后是、最低;为编码输出端,输出也为低电平有效(反码输出)。3为编码器工作状态标志,为使能输出端:当编码器可以进行编码且有编码请求时0,1;当编码器可以进行编码但无编码请求时,1;0;当编码器被禁止时,1、1。三、二三、二十进制编码器十进制编码器二十进制编码器是指用四位二进制代码表示一位十进制数的编码电路,也称10线4线编码器。最常见是147系列的8421BCD中规

63、模集成编码器。下面以CD40147为例来说明8421BCD编码器的功能,其引脚排列如图3-3所示,功能表如表3-3所示。 图3-3CD40147的引脚排列表中可以看出:CD40147中, 编码的优先等级是最高,然后是 、 、 最低。74LS148编码器的应用是非常广泛的。例如:常用计算机键盘的内部就是一个字符编码器,它将键盘上的大、小写英文字母和数字及符号还包括一些功能键(回车、空格)等编成一系列的七位二进制数码,送到计算机的中央处理单元CPU,然后再进行处理、存储、输出到显示器或打印机上。可以用74LS148编码器监控炉罐的温度,若其中任何一个炉温超过标准温度或低于标准温度,则检测传感器输出

64、一个0电平到74LS148编码器的输入端,编码器编码后输出三位二进制代码到微处理器进行控制。四、二进制译码器四、二进制译码器将输入的n位二进制代码翻译成2n种电路状态输出的电路,称为二进制译码器,也可称为n线2n译码器。二进制译码器主要2线4线译码器、3线8线译码器、4线16线译码器。下面以3线8线中规模集成译码器74LS138为例,来说明译码器的功能。该芯片有3个代码输入,8种状态输出。其引脚排列及逻辑符号如图3-4所示,功能表如表3-3所示。 (a) 引脚排列 b)逻辑符号 图3-4 74LS138 译码器表2当E1=1,且0时,译码器工作,将输入的二进制代码翻译成对应的输出低电平信号,输

65、出的表达式为1输入端子E1、和为控制端,三个端子的输入信号控制着译码器的工作情况;3输入端E10、或有高电平,译码器被禁止,输出全为高电平。五、二五、二十进制译码器十进制译码器非二进制译码器种类很多,其中二十进制译码器应用较广泛。二十进制译码器是将输入为BCD码的十个信号翻译为对应的低电平输出。该译码器有A0A3四个输入端,共10个输出端,简称4线10线译码器。译码器常用的型号有:TTL系列的54/7442、54/74LS42和CMOS系列中的54/74HC42、54/74HCT42等。以中规模集成译码器74LS42为例,来说明二十进制译码器的功能。芯片的引脚排列和逻辑符号如图3-5所示,功能

66、表见3-4。(a)引脚排列(b)逻辑符号图3-574LS42译码器表3-474LS42译码器功能表由表3-4可以看出,译码器工作时将输入的二进制代码00001001翻译成对应的低电平信号输出;当输入为10101111时,译码器未进行译码,输出全为高电平。六、显示译码器六、显示译码器在数字系统中,常常需要将运算结果用人们习惯的十进制数字显示出来,这就要用到显示译码器。显示译码器主要由译码器和数码显示器两部分组成。在数字系统中,常用的数码显示器采用七段显示器进行显示,其组成如图3-6所示。图3-6显示器组成1. 半导体七段显示器半导体七段显示器数码显示器按显示方式有分段式、字形重叠式、点阵式。分段

67、式数码管根据发光段数分为七段数码管和八段数码管,发光材料可以用荧光材料(称为荧光数码管)或是半导体发光二极管(称为LED数码管)。其中,由半导体发光二极管够成的七段显示器应用最普遍。七段数码管LED数码管有共阳极和共阴极两种接法,如图3-8所示。共阳极接法是各发光二极管阳极相接,对应极接低电平时亮;共阴极接法是各发光二极管的阴极相接,对应极接高电平时亮。图3-8LED的两种接法(a)共阳极;(b)共阴极2.七段显示译码器七段显示译码器上述半导体七段数码管需要利用不同发光二极管的发光组合来显示数字,为此,需要七段显示译码器进行译码,提供驱动信号,使数码管显示相应的数字。常用的七段显示译码器具有四

68、个输入端(一般是8421BCD码)、七个输出端。以中规模集成七段显示译码器74LS48为例,来说明七段显示译码器的功能。图3-9为74LS48的管脚排列和逻辑符号,表3-5所示为74LS48的功能表。3-974LS48的管脚排列图74LS48功能表功能表允允许数数码显示示相相应端口端口输出有效出有效电平平 1,使,使显示相示相应数字。数字。输入入BCD 码agfbc动态灭零零 输入入 输出出 A3 A2 A1 A0Ya Yb Yc Yd Ye Yf Yg 显示示 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

69、0 1 0 0 0 1 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 0 1 0 2 0 0 0 0 1 1 0 3 1 0 0 1 1 0 0 4 0 1 0 0 1 0 0 5 1 1 0 0 0 0 0 6 0 0 0 1 1 1 1 7 0 0 0 0 0 0 0 8 0 0 0 1

70、1 0 0 9 1 1 1 0 0 1 0 无效 1 1 0 0 1 1 0 无效无效 1 0 1 1 1 0 0 无效无效 0 1 1 0 1 0 0 无效无效 1 1 1 0 0 0 0 无效无效 1 1 1 1 1 1 1 全暗全暗 1 1 1 1 1 1 1 全暗全暗 1 1 1 1 1 1 1 全暗全暗 0 0 0 0 0 0 0 8灭灯灯输入入输出出试灯灯十进制或功能输 入BI/RBO输出字形LTRBIDCBAabcdefg0HHLLLLHH H HHHHL1HLLLHHL H HLLLL2HLLHLHH H LHHLH3HLLHHHH H HHLLH14HHHHLHL L LHH

71、HH消 隐脉冲消隐灯 测 试LL L LLLLLHLLLLLLL L LLLLLLHH H HHHHH七段显示译码器七段显示译码器74LS48逻辑功能逻辑功能 七、含有译码器的组合电路的分析与设计七、含有译码器的组合电路的分析与设计从对74LS138功能表的分析可以看出:在译码器正常工作时,其输出端分别对应,即输出包含了全部最小项的非;又因为任何逻辑函数都可以写成最小项值和的形式,所以任何组合逻辑函数都可以用译码器来实现。【例3-1】电路如图3-10所示。分析电路功能。图3-10 例3-1图根据表达式列出真值表3-8。表3-8由表3-8可知,该电路是一个奇偶校验电路,当输入C、B、A数值为偶数

72、时,输出F1为1,当输入C、B、A数值为奇数时,输出F2为1。解:解: (1)(1) 根据逻辑函数选择译码器根据逻辑函数选择译码器例例2:2: 试用译码器和门电路实现逻辑函数:试用译码器和门电路实现逻辑函数:由于有由于有 A A、B B、C C 三个变量三个变量,选用,选用 3 3 线线 - 8 - 8 线线译码器译码器 74LS138 74LS138 (3)(3) 根据译码器的输出有效电平确定需用的门电路根据译码器的输出有效电平确定需用的门电路(2 2)令令 A A2 2 = = A A,A A1 1 = = B B,A A0 0 = = C C ,代入函数表达式,代入函数表达式,化为最小项

73、表达式化为最小项表达式74LS138 74LS138 输出低电平有效输出低电平有效 i i = = 0 0 7 7(4) (4) 画连线图画连线图Y Y采用采用 3 3 输入输入与非门与非门,其输入取自,其输入取自 Y Y0 0、Y Y2 2、Y Y7 7。& & 在多路数据传输过程中,经常需要将其中一路信号挑选出来进行传输,这就在多路数据传输过程中,经常需要将其中一路信号挑选出来进行传输,这就需要用到需要用到数据选择器数据选择器。 在数据选择器中,通常用在数据选择器中,通常用地址输入信号地址输入信号来完成挑选数据的任务。如一个来完成挑选数据的任务。如一个4 4选选1 1的数的数据选择器,应有

74、据选择器,应有2 2个地址输入端,它共有个地址输入端,它共有2 22 2=4=4种不同的组合,每一种组合可选择对应的种不同的组合,每一种组合可选择对应的一路输入数据输出。同理,对一个一路输入数据输出。同理,对一个8 8选选1 1的数据选择器,应有的数据选择器,应有3 3个地址输入端。其余类推。个地址输入端。其余类推。 链接二链接二 数据选择器和加法器数据选择器和加法器1.数据选择器的功能数据选择器的功能一、数据选择器一、数据选择器D D0 0Y YD D1 1D D2 2D D3 34 4 选选 1 1 数据选择器工作示意图数据选择器工作示意图A A1 1A A0 0多路输入多路输入一路输出一

75、路输出地址码输入地址码输入1 10 0Y Y= =D D1 1D D1 1常用常用 2 2 选选 1 1、4 4 选选 1 1、8 8 选选 1 1和和 16 16 选选 1 1 等数据选等数据选择器。择器。 数据选择器的输入信号个数数据选择器的输入信号个数 N N 与地址码个数与地址码个数 n n 的关的关系为系为 N N = 2= 2n n 数据选择器数据选择器 根据地址码的要求,从多路输入信号中选根据地址码的要求,从多路输入信号中选择其中一路输出的电路。又称多路选择器或多择其中一路输出的电路。又称多路选择器或多路开关。路开关。. .选数据选择器选数据选择器2. 数据选择器的逻辑图与符号图

76、数据选择器的逻辑图与符号图图3-13是四选一数据选择器的逻辑图和符号图。其中,A1、A0为选择输入端,即地址变量;D0D3是数据输入端; 为选通端或使能端,低电平有效。 当=1时,选择器不工作,禁止数据输入。 =0时,选择器正常工作允许数据选通。由图3-13可写出四选一数据选择器输出逻辑表达式图3-13四选一数据选择器(a)逻辑图(b)符号图由逻辑表达式可列出功能表如表3-9所示。表3-9四选一数据选择器功能表4. 集成数据选择器集成数据选择器集成数据选择器种类较多,下面以常用的中规模集成数据选择器74LS151为例来说明数据选择器的功能。74LS151是一个集成8选1数据选择器。其引脚排列及

77、逻辑符号见图3-14,芯片有3个地址A2、A1、A0输入端,8个数据输入端D7、D0,并有2个互补输出端,功能表见表3-10。(a)引脚排列 (b)逻辑符号 图3-14 74LS151集成 8选一数据选择器因因为若若A2A1A0=000,则因因为若若A2A1A0=010,则Y=D0Y=D274LS151 74LS151 输出函数表达式输出函数表达式1 00 00 00 00 01 00 00 0Y = A2A1A0D0 + A2A1A0D1 + A2A1A0D2+ A2A1A0D3+ A2A1A0D4+ A2A1A0D5+ A2A1A0D6+ A2A1A0D7Y = A2A1A0D0 + A2

78、A1A0D1 + A2A1A0D2+ A2A1A0D3+ A2A1A0D4+ A2A1A0D5+ A2A1A0D6+ A2A1A0D7 = m0D0+ m1D1+m2D2+ m3D3+ m4D4+m5D5+ m6D6+ m7D7二、含有数据选择器的组合电路的分析与设二、含有数据选择器的组合电路的分析与设计计数据选择器除了用来选择输出信号、实现时分多路通信外,还可以作为函数发生器,用来实现组合逻辑函数。1. 含有数据选择器的组合电路的分析含有数据选择器的组合电路的分析由数据选择器的功能分析可知,在使能端有效的情况下,数据选择器正常工作,以四选一为例,将输出在对包含数据选择器的组合电路分析时,只需

79、将对应的输入变量或数值代入Ai、Di即可。【例例3-3】分析图分析图3-15所示电路的功能。所示电路的功能。解:(1)将图 (a)中A,B,C,Di分别代入输出表达式,可得列真值表如表3-11所示,从中可以看出为三变量多数表决器。(2)同理可得图3-16(b)中电路的输出表达式为 ,显然图3-16(b)电路为二变量异或门电路。用数据选择器来实现组合逻辑函数的方法可以用代数法,也可以用卡诺图法。具体的设计方法是:将逻辑函数的输入变量接到地址输入端Ai,然后确定加至每个数据输入端Di的值(可以是常量、变量或函数)。下面通过例子说明具体的设计方法。【例3-4】用数据选择器实现三人多数表决器。解:将三

80、人多数表决器真值表及八选一数据选择器功能均列于表3-12中。通过对比表中表决器输出F与Di比较可以看出,只要即可实现三变量多数表决器。如果选用四选一数据选择器实现,则由于选择输入端数目的变化,只能选择其中的两个变量接入选择输入端,另一变量则反映在数据输入端Di中。选择哪两个变量为地址变量是任意的,但选择不同,则数据输入端连接方式也不同。如选A2、A1为地址变量,则A0应反映在Di端。由公式确定Di如下:与四选一输出表达式对比四选一数据选择器的连接图如图3-16(b)所示。 有 图3-16(a)八选一选择电路;(b)四选一选择电路三、加法器三、加法器1.半加器半加器半加器是只考虑两个加数本身,而

81、不考虑来自低位进位的逻辑电路。一位二进制半加器,输入变量有两个,分别为加数A和被加数B;输出也有两个,分别为和数S和进位C。真值表如表3-13所示。由真值表写逻辑表达式: C=AB画出逻辑图如图3-18所示。图3-17半加器(a)逻辑图 (b)逻辑符号2. 全加器全加器全加器是完成两个二进制数Ai和Bi与相邻低位的进位Ci-1相加的逻辑电路。其中Ai和Bi分别是被加数和加数,Ci-1为相邻低位的进位,Si为本位的和,Ci为本位的进位。图3-18是全加器的逻辑图和逻辑符号。在图3-17(b)的逻辑符号中,CI是进位输入端,CO是进位输出端。图3-18 全加器(a)逻辑图(b)逻辑符3. 多位加法

82、器多位加法器多位数相加时,要考虑进位,可以采用全加器并行相加、串行进位的方式来完成,图3-19是一个四位串行进位加法器。 图3-9四位串行进位加法器在此基础上,采用超前进位技术及片间超前进位技术可进一步提高多片级联的运算速度。目前已有74LS283、74LS183等四位加法器集成电路供应市场需求。将多片四位集成电路加法器进行级联扩展可构成八位、十六位等加法器。相加相加结果果读数数为 C3S3S2S1S0 4位二位二进制加数制加数B 输入端入端 4位二位二进制加数制加数A 输入端入端低位片低位片进位位输入端入端本位和本位和输出端出端向高位片的向高位片的进位位输出出A3A2A1A0B3B2B1B0

83、CI COS3S2S1S0S3S2S1S0 74LS283逻辑符号符号 4. 集成全加器集成全加器 4位二位二进制超前制超前进位加法器位加法器74LS283 四、集成组合电路的扩展四、集成组合电路的扩展常用的一些中规模集成电路的输入输出数量不多,在实现多变量的组合逻辑函数时有比较的的困难,解决的方法之一就是充分利用集成电路的使能端,扩展该集成电路使其变成有更多输入变量或者具备更多功能的电路。下面分别以编码器、译码器、数据选择器为例来说明常用的数字集成电路的扩展方法。1. 编码器的扩展编码器的扩展用两片74LS148可以扩展成为一个16线4线优先编码器,如图3-20所示。 对图3-20进行分析可

84、以看出,高位片S1=0允许对输入I8I15编码;YS1=1,S2=1,则高位片编码,低位片禁止编码。但若I8I15都是高电平,即均无编码请求,则YS1=0允许低位片对输入I0I7编码。显然,高位片的编码级别优先于低位片。图3-2016线4线优先编码器2.译码器的扩展译码器的扩展用两片74LS138实现一个4线16线译码器,如图3-21所示。 利用译码器的使能端作为高位输入端,当A3=0时,由译码器的菜单可知,低位片74LS138工作,对输入A3、A2、A1、A0进行译码,还原出Y0Y7,则高位禁止工作;当A3=1时,高位片74LS138工作,还原出Y8Y15,而低位片禁止工作。 图3-214线

85、16线译码器3.数据选择器的扩展数据选择器的扩展用两片74LS151连接成一个十六选一的数据选择器。十六选一的数据选择器的地址输入端有四位,最高位A3的输入可以由两片八选一数据选择器的使能端接非门来实现,低三位地址输入端由两片74LS151的地址输入端相连而成,连接图如图4-22所示。当A3=0时,由菜单4-9知,低位片74LS151工作,根据地址控制信号A3A2A1A0选择数据D0D7输出;A3=1时,高位片工作,选择D8D15进行输出。 图3-22 十六选一数据选择器项目四分频器电路知识目标知识目标掌握时序逻辑电路的分析方法了解常见触发器的组成及电路符号掌握几种常见触发器的工作原理掌握常见

86、触发器状态表、特性方程和波形图掌握移位寄存器的的工作原理技能目标技能目标掌握触发器的应用掌握分频器电路的应用掌握寄存器的应用 知识链接知识链接链接一 时序逻辑电路概述链接二 触发器链接三 边沿触发器链接四 寄存器项目实训项目实训任务一 利用Multisim仿真软件进行集成数据寄存器功能仿真任务二 利用Multisim仿真软件进行的分频器电路仿真任务三 触发器的使用链接一链接一 时序逻辑电路概述时序逻辑电路概述数字逻辑电路分为两类:一类是组合逻辑电路,另一类是时序逻辑电路。在组合逻辑电路中,任一时刻的输出仅与该时刻输入变量的取值有关,而与输入变量的历史情况无关;在时序逻辑电路中,任一时刻的输出不

87、仅与该时刻输入变量的取值有关,而且与该时刻电路所处的状态有关。图4-1是时序逻辑电路的方框图。由图中可以看出,时序逻辑电路包含组合逻辑电路和存储电路两部分,存储电路具有记忆功能通常由触发器担任;存储电路的状态反馈到组合逻辑电路的输入端,与外部输入信号共同决定组合逻辑电路的输出。 触发器是时序逻辑电路最基本的存储器件,具有两个稳定的工作状态,即0状态和1状态。在无外界信号触发作用时,触发器可以长期保持在某个稳定状态,在一定的外界触发信号作用下,触发器从一个稳态翻转到另一个稳态。利用触发器的这一工作特点,可以记忆或存储信息。一个触发器可以记忆或存储一位二进制信息。触发器种类很多,根据逻辑功能的不同

88、,可分为RS触发器、JK触发器、D触发器、T触发器、T触发器等。根据触发方式的不同,可分为电平触发和边沿触发这两种类型。链接二链接二 触发器触发器一、基本一、基本RS触发器触发器基本RS触发器又称直接复位、置位触发器,它是结构最简单的一种触发器,各种实用的触发器都是在基本RS触发器的基础上构成的。基本RS触发器的电路组成有多种形式,图4-2为两个与非门交叉耦合构成的基本RS触发器。图4-2与非门构成的基本RS触发器(a)逻辑图;(b)惯用符号;(c)国标符号图4-2中, 、 是触发器的两个输入端,字母上的非号表示该输入端为低电平有效。Q和 是两个互补输出端,Q端的状态即为触发器的状态。Qn表示

89、触发器的原态(现态),即触发信号输入前的状态;Qn+1为触发器的次态,即触发信号输入后的状态。触发器的功能可以用真值表(或称状态表)、特征方程、状态转换图、波形图(或称时序图)来描述。1. 工作原理工作原理从图4-2(a)中可以看出,基本RS触发器的工作情况为:(1)当输入 , 时,无论原状态为 ,或者 ,次态 ,称为触发器复0;(2)当输入 , 时,无论原状态为 ,或 者 ,次态 ,称为触发器置1;(3)当输入 , 时,若 ,次态 ;而 ,则次态 ,触发器实现状态保持;(4)当输入 , 时,无论原状态如何, ,在输入信号消失后,触发器输出状态将不确定。2. 波形图(时序图)波形图(时序图)如

90、图4-3所示,给定不同时刻的触发信号波形,可以得到触发器的状态变换波形。画图时,对应某个时刻,该时刻以前为Qn,该时刻以后为Qn+1。由上述分析可得出基本RS触发器的功能为:当 ,立即置Q=1; ,立即置Q=0; ,保持原状态。注意,当 和 的低电平信号同时消失时,触发器输出状态是不定,可能为高电平也可能为低电平。图4-3波形图3. 真值表真值表前述工作情况可以用表4-1所示真值表表示。二、钟控二、钟控RS触发器触发器在数字系统中,通常要求触发器按一定的时间动作,为此增加一个时钟脉冲CP(ClockPulse)来控制触发器的翻转时刻,而翻转为何种状态仍然由输入信号决定,从而出现了各种钟控触发器

91、。在基本RS触发器的基础上,再增加两个与非门即可构成如图4-4所示的钟控RS触发器。功能分析:当CP=0时,不论输入信号R、S为何值, 、 的值都为1,根据基本RS触发器的功能,此时触发器处于保持状态。当CP=1时,输入信号R、S和 、 的关系为取反关系,结合表4-1,可以写出钟控RS触发器的真值表如表4-2所示。图4-4钟控RS触发器(a)逻辑图;(b)逻辑符号根据真值表4-2可画出图4-5所示的钟控RS触发器的卡诺图。由卡诺图化简可得出由无关项可以看出,S和R不能同时为1,即存在约束条件SR=0,特征方程可以完整地表示为图4-5时钟控RS触发器的卡诺图三、三、D触发器触发器将图4-4(a)

92、钟控RS触发器的S端改成D端,R端与 相连,就构成了图4-6所示的D触发器,这样就不会出现R和S端同时为1的禁止状态。当CP=1时,将S=D、R=代入式(4-1)可得到D触发器的特征方程为图4-6D触发器由式(4-3)可得到D触发器的真值表如表4-3所示及其状态图如图4-7所示。 四、四、JK触发器触发器将图4-4(a)的RS触发器连接成图4-8所示电路,就构成了JK触发器,利用Q、 的互补性保证了R和S不会同时为1。图4-8JK触发器由式(4-4)可得JK触发器的真值表如表4-4所示。 由真值表可得出JK触发器的功能,可记忆为:JK=00保持,即 ;JK=01置0,即 ;JK=10置1,即

93、;JK=11翻转,即 。由JK触发器的功能可以画出图4-9所示的状态转换图。图4-9 JK触发器状态图五、基本触发器的空翻和振荡现象五、基本触发器的空翻和振荡现象前面所介绍的触发器都是在CP=1期间触发信号有效,这种触发器称为电平触发或电位触发。如果CP=1时间维持较长,触发器就会出现空翻或振荡现象,这使触发器的应用受到了限制。1空翻现象空翻现象空翻现象是指在CP=1期间触发器的输出状态翻转两次或两次以上,如图4-11所示,第一个CP=1期间Q状态的变化。因此为了保证触发器可靠的工作,防止出现空翻现象,必须限制输入的触发信号在CP=1期间不发生变化。2振荡现象振荡现象对于JK触发器,当J=K=

94、1时,在CP=1期间,触发器状态将在0和1之间不断翻转,这就是振荡现象。如图4-10所示的第二个CP=1期间波形。为了不产生振荡,就必须使CP脉冲的宽度变窄,但并不是CP脉冲宽度越窄越好,因为任何一个逻辑门都存在一定的平均延迟时间tpd。要保证触发器状态可靠地翻转,CP脉冲宽度至少要大于2tpd,为避免再次翻转,CP脉冲宽度应小于3tpd,即CP脉冲宽度tpdW应满足以下要求: 2tpdtpdW16,故需要两片74LS161芯片。采用反馈归零法实现的二十四进制计数器如图5-12所示,将24/16=1余8,把商“1”作为高位输出,余数“8”作为低位输出,对应产生的清零信号同时送到两个芯片的Cr端

95、,从而实现二十四进制计数。这种方法的依据就是,由于低位芯片进位输出端OC与高位芯片的计数控制端P或T直接相连,在低位芯片没有计数到1111(即15)之前,其进位输出端OC均为低电平,使得高位芯片不工作;只有当低位芯片计满16个数(015)时,高位才开始工作(即高位必须等低位计满16个数时才能计1个数)。 图5-13二十四进制计数器(74LS161)项目六闪烁灯电路知识目标知识目标了解555定时器的内部结构和工作原理熟悉定时器的引脚功能和特点掌握施密特触发器的应用掌握单稳态触发器和多谐振荡器和应用技能目标技能目标会使用555定时器掌握施密特触发器的简单应用掌握多谐振荡器的简单应用知识链接知识链接

96、链接一 555定时器链接二 施密特触发器链接三 单稳态触发器链接四 多谐振荡器项目实训项目实训任务一 施密特触发器实现波形转换 任务二 闪烁灯电路仿真分析任务三 555时基电路的性能分析链接一链接一 555定时器定时器一、集成一、集成555定时器的内部结构和工作原理定时器的内部结构和工作原理集成555定时器电路的内部结构如图6-1所示。从图中可以看出,定时器由电阻分压器、两个比较器、基本RS触发器、门电路构成。其逻辑符号和引脚排列如图6-2所示。图6-1555定时器引脚功能如下:1脚(GND):接到端。 2脚(TRI):低电平触发端。3脚(OUT):输出端。 4脚(RST):复位端,低电平有效

97、。5脚(CON):电压控制端。 6脚(THR):高电平触发端。7脚(DIS):放电端。 8脚(VCC):电源电压端。图6-2二、集成二、集成555定时器的工作原理定时器的工作原理由图6-1所知,定时器的基本工作原理为:在控制端5脚不外加控制电压和其他电阻且功能控制端接高电平的情况下,电压比较器A的基准电压为 VCC,B的基准电压为 VCC。(1)当6脚输入电平Vi1 VCC,2脚输入电平Vi2 VCC,即当6脚和2脚的输入电平与各自的基准电平相比较都高时,3脚输出Vo为低电平0,同时三极管VT导通。(2)当6脚输入电平Vi1 VCC,2脚输入电平Vi2VCC,即当6脚和2脚的输入电平与各自的基

98、准电平相比较都低时,3脚输出Vo为高电平1,同时三极管VT截止。(3)当6脚输入电平Vi1 VCC,2脚输入电平Vi2VCC,3脚输出Vo也处于保持状态,三极管VT也保持原状态。555定时器的功能见表6-1链接二链接二 施密特触发器施密特触发器施密特触发器是一种特殊的双稳定触发器。特殊之处在于具有滞回特性,利用滞回特性可以进行脉冲的产生和整形。一、施密特触发器的电路组成及工作原理一、施密特触发器的电路组成及工作原理由555定时器组成的施密特触发器电路如图6-3所示。图6-3中,5脚通过一个0.01F的电容接地,所以两个电压比较器A、B的基准电压分别为 VCC和 VCC。VTH称为上限电平(正向

99、阈值电平),VTL称为下限电平(负向阈值电平),此时VTH=VCC,VTL=VCC,把两者的差称为回差电压UT,即 UT=VTH-VTL图6-3中回差电压UT=VCC。如果5脚外接控制电压VM,则回差电压为UT=VM-VM=VM若5脚通过一个10k的电阻接地,则回差电压为UT=VCC-VCC=VCC通过改变5脚电平,可达到调整回差电压的目的。施密特触发器存在回差电压的现象称为电路传输的滞后特性。通常回差电压越大,施密特触发器的抗干扰性越强,但灵敏度也会相应降低。图6-4工作波形图6-5电压传输特性图6-3施密特触发器电路二、施密特触发器的主要应用二、施密特触发器的主要应用施密特触发器的应用非常

100、广泛,可用于波形的变换、整形,幅度鉴别,以及构成多谐振荡器、单稳态触发器等。1波形变换波形变换施密特触发器可以将任何符合特定条件的输入信号变换为矩形波输出。图6-3就是一个将不规则的模拟信号波形转换为规则的矩形波的实例。施密特触发器还可以将正弦波、三角波等其他波形变换成矩形波,如图6-5所示。图中的VTH和VTL分别是两个电压比较器的基准电压,当uiVTL(6脚和2脚电压都低于其基准电压)时,3脚输出uo为高电平;当VTLuiVTH(6脚和2脚电压都一个低于其基准电压,另一个高于其基准电压)时,3脚输出uo保持高电平不变;当uiVTH(6脚和2脚电压都高于其基准电压)时,3脚输出uo为低电平。

101、图中矩形波的脉冲宽度可以通过改变回差电压的大小加以调节。图中矩形波的脉冲宽度可以通过改变回差电压的大小加以调节。 图6-5 施密特触发器实现波形变换 (a)输入信号(b)以VTL1整形(c)以VTL2整形 图6-7 施密特触发器实现幅度鉴别 图6-6 施密特触发器实现波形整形图6-6(a)中,若取VTL1为下限电平,则整形后的波形如图6-6(b)所示,这样的结果显然不正确。原因是:由于回差电压较小,因而并未完全消除掉输入数字信号顶端的毛刺,只是通过整形使其在输出中表现为三个低电平矩形脉冲。若适当地增大回差电压,如图6-6(a)中取VTL2为下限电平,则整形后的波形如图8-6(c)所示,显然干扰

102、毛刺已被完全消除,此时只需在输出端接一个反相器,就可以把变了形的数字信号1波形整形为整齐的正向矩形波了。注意:回差电压的大小必须根据实际情况适当调整,此处如果回差电压选择过大,将会导致有效信号被湮没,同样起不到波形整形的目的。3幅度鉴别幅度鉴别施密特触发器的翻转取决于输入信号是否高于上限电平VTH和是否低于下限电平VTL。如果希望将幅度大于VTH的波鉴别出来,这时施密特触发器就成为幅度鉴别器(简称鉴幅器)。如图6-7所示,当输入脉冲幅度大于VTH时,555定时器的6脚和2脚电压都高于其基准电压,此时输出端就有负脉冲出现;而当输入脉冲幅度小于VTL时,555定时器的6脚和2脚电压都低于其基准电压

103、,输出端为高电平,相当于没有脉冲输出。这样,可以从输出端是否出现负脉冲来判断输入信号幅度是否超过一定值。 4构成多谐振荡器构成多谐振荡器施密特触发器外接电阻、电容后可构成多谐振荡器。链接三链接三 单稳态触发器单稳态触发器单稳态触发器是输出只有一个稳定状态的电路。它在无外加触发信号时处于稳态,在外加触发信号作用下,电路从稳态进入到暂态。暂态不能长久保持,经过一段时间后,电路又会自动返回到稳态。暂态维持时间的长短取决于电路本身的参数,与触发信号无关。单稳态触发器一般可用于定时、整形及延时。一、单稳态触发器的电路组成及工作原理一、单稳态触发器的电路组成及工作原理由555定时器构成的单稳态触发器如图6

104、-8所示,图中R、C是外接定时元件,Rp、Cp构成了输入回路的微分环节,其作用是使输入信号ui的负脉冲宽度tp限制在允许的范围内,即经过微分电路后变成尖脉冲信号,如果输入ui的宽度小于输出uo的宽度TW,则Rp、Cp可以省略。单稳态触发器的工作过程如下:稳态(未加触发信号之前):当单稳态触发器无触发信号时,ui=1。接通电源VCC的瞬间,电路有一个稳定的过程,即电源VCC通过电阻R对电容C充电,当uC上升到 VCC时,输出uo=0(都高出低),同时三极管VT导通,这时电容C开始通过VT放电,直至放电到6脚的电平Vi1=0 VCC,而由于仍没有触发信号ui,故2脚电平ui仍大于 VCC,即电路处

105、于中间保持状态,故输出uo仍保持低电平,电路进入稳定状态。由此说明单稳态触发器的稳定状态是低电平0。图6-8单稳态触发器电路(2)暂态(充电过程)当触发信号ui(负脉冲)到来时,Rp、Cp微分后的负尖脉冲使得2脚的电平Vi2低于比较器B的基准电平,同时由于uC为0(前面已放电结束),故6脚的电平Vi1也低于比较器A的基准电平,所以输出uo=1(都低出高),三极管VT截止。此时电源VCC开始通过电阻R向电容C充电,在uC未达到VCC前,输出uo始终为1。这个充电过程为电路的暂态过程。恢复稳态(放电过程)随着充电的不断进行,当uC略大于 VCC时,6脚的电平Vi1高于比较器A的基准电平;而此时由于

106、2脚的负尖脉冲早已过去,即Vi2也高于比较器B的基准电平,故输出uo为0(都高出低),三极管VT导通,电容C通过VT迅速放电,直至Vi1=0,使得输出仍保持为0(中间保持),即电路又回到了原先的稳定状态。 单稳态触发器工作波形见图6-9。由分析可以看出,暂态持续的时间(也就是输出正脉冲TW的宽度)仅取决于电阻R和电容C的大小。 图6-9 (a)输入波形; 图6-10 单稳态触发器实现波形整形 (b)Vi2波形;(c)输出波形;(d)电容C的充放电波形二、单稳态触发器的主要应用二、单稳态触发器的主要应用由于单稳态触发器在触发信号作用下能产生一定宽度的矩形脉冲,广泛用于数字系统中的整形、延时和定时

107、。1波形整形波形整形在数字信号的采集、传输过程中,经常会遇到不规则的脉冲信号。这时,可将不规则的脉冲信号作为触发信号ui加到单稳态触发器的输入端,合理选择定时元件R和C的参数,即可在其输出端产生标准的脉冲信号,从而实现了波形整形,如图6-10所示。2定时定时由于单稳态触发器能根据需要产生一定宽度TW的正脉冲输出,因此常用作定时电路使用。即用计时开始信号去触发单稳态触发器,经TW时间后,单稳态触发器便可给出到时信号,从而实现TW时间的定时。例如用单稳态触发器去控制某个照明电路的通电时间或控制某个加热电路的加热时间等。3延时延时单稳态触发器的输出脉冲宽度TW也称为延迟时间。如在单稳态触发器的输入端

108、加一个负尖脉冲,输出接一微分电路(其作用是将输出脉冲变为尖脉冲),则根据前面对单稳态触发器工作原理的分析,可知,该输入负尖脉冲经过TW时间的延迟后,从单稳态触发器的输出端输出,即实现了延时功能。任务四任务四 多谐振荡器多谐振荡器多谐振荡器是一种无稳态电路,即其输出状态不断在1和0之间变换,因而它无需外加触发信号,便可自动产生一定频率的矩形波。它内含丰富的高次谐波分量,故称为多谐振荡器。由555定时器构成的多谐振荡器如图6-11所示,工作波形见图6-12。多谐振荡器的振荡周期为T=T1+T2=0.7(R1+2R2)C除了用周期、频率、幅度来描述矩形波以外,还经常用到占空比这一参数。所谓占空比是指

109、一个周期内高电平所占的比值。由前面分析知道,充电时间T1对应输出为高电平的时间,所以多谐振荡器输出矩形波的占空比D为可见,通过调节R1和R2可以改变充、放电时间,即改变输出矩形波的周期和占空比。如果取R1=0,就可以得到占空比为50%的等宽矩形波,其应用非常广泛。但是,图6-11所示的多谐振荡器只能产生占空比大于50%的矩形波,而改进后的图6-13所示电路通过调节电位器RW可以产生占空比处于01的任意矩形波,其占空比可计算为图6-13 可调占空比的多谐振荡器多谐振荡器可以产生具有一定占空比的矩形方波,这个方波可用作时序电路中的时钟脉冲CP,这是多谐振荡器最基本的用途。在实际中,多谐振荡器还有很

110、多用处,例如图6-14所示的模拟声响发生器,就是多谐振荡器的一个实用电路。图6-14中振荡器(1)的输出uo1接到振荡器(2)的4脚即复位输入端,振荡器(2)的输出驱动扬声器发声。在uo1输出正脉冲期间,振荡器(2)由于4脚=1而正常工作,所以uo2有矩形方波输出,则扬声器发声;在uo1输出负脉冲期间,振荡器(2)由于4脚=0而停止工作,uo2输出始终为低电平,扬声器不能发声。图6-14模拟声响发生器只要合理地选择R1、R2、C1的参数值,使振荡器(1)的振荡频率为1Hz,同时合理选择R3、R4、C2的参数值使振荡器(2)的振荡频率在音频范围20Hz20KHz内,那么在该模拟声响发生器工作时,

111、就可以从扬声器中听到间歇式的“嘟、嘟”声。利用此原理,可以设计出警车、消防车、救护车音响等多种音响发生器,应用十分广泛。 项目七D/A与A/D转换电路知识目标知识目标了解D/A转换器和A/D转换器的工作原理熟悉D/A转换器和A/D转换器的主要性能指标和技能目标技能目标掌握常用集成转换器的使用方法会使用DAC0832和ADC0809项目实训项目实训任务一 集成DAC转换器功能的仿真分析任务二 集成ADC转换器功能的仿真分析一、一、D/A转换器电路及原理转换器电路及原理D/A转换指数字信号转换为模拟信号。实现D/A转换的电路称为D/A转换器。目前使用的D/A转换器中有T型电阻、权电阻等等几种类型。

112、图7-2是T型网络D/A转换器,它由4位R2RT型电阻网络、4个电子模拟开关和1个运算放大器组成。链接一链接一 D/A转换器转换器可见,输出的模拟电压大小与输入的数字信号成正比。上图所示的电路可以把四位二进制数转换成模拟信号。同样,这种结构的T型网络可以类推到n级,构成n位D/A转换器。T型电阻网络的特点是,电阻网络中只有R、2R两种阻值的电阻,给集成电路的设计和制作带来了很大的方便,无论模拟开关状态如何变化,各支路电流都直接流入地或者运放的虚地,电流值始终不变,因此不需要电流的建立时间;同时,各支路电流直接接至运放的输入,它们之间不存在传输时间差。所有这些特点都有助于T型电阻网络提高转换速度

113、,T型电阻网络是目前D/A转换中使用较多的一种。二、二、D/A转换器的主要性能指标转换器的主要性能指标目前DAC的种类是比较多的,制作工艺也不相同。按输入数据字长也分为8位、10位、12位及16位等;按输出形式可分为电压型和电流型等;按结构可分为有数据锁存器和无数据锁存器2类。不同类型的DAC在性能上的差异较大,适用的场合也不尽相同。1D/A转换器的转换精度转换器的转换精度在D/A转换器中通常用分辨率和转换误差来描述转换精度。(1)分辨率 分辨率是指数字信号中最低位发生变化时对应输出电压变化量与满刻度输出电压之比。分辨率是D/A转换器对输入量变化敏感程度的描述,与输入数字量的位数有关。在分辨率

114、为的D/A转换器中,从输出模拟电压的大小应能区分出输入代码从0000到1111全部个不同的状态,给出个不同等级的输出电压。分辨率可表示为从理论上讲,二进制位数越多,分辨率越高,相应的转换精度也越高。分辨率(2)转换误差 由于D/A转换器的各个环节的参数在性能上和理论值之间不可避免地存在着差异,所以实际能达到的转换精度要由转换误差来决定。转换误差是指转换器的实际误差,造成的原因包括参考电位的波动、运算放大器的零点漂移、模拟开关的导通内阻和导通压降、电阻网络中电阻阻值的偏移以及三极管特性的不一致等。转换误差可以用输出满刻度电压FSR(FullScaleRange)的百分数表示。2D/A转换器的转换

115、速度转换器的转换速度 转换速度是指从送入数字信号起,到输出电流或电压达到最终误差并稳定为止所需要的时间。通常用建立时间来定量描述D/A转换器的转换速度。不同类型的D/A转换器转换速度差别较大,通常为几十纳秒到几微秒,一般电流型D/A转换器较之电压型D/A转换器速度快一些,但总的来说,D/A转换速度远高于A/D转换速度。D/A转换器的技术指标还包括线性度、输入编码形式、输入高、低逻辑电平值、温度系数、输出电压范围、功率消耗以及工作环境条件等。 三、集成三、集成D/A转换器转换器集成D/A芯片通常只将T型(倒T型)电阻网络、模拟开关等集成在一块芯片上,多数芯片中并不包含运算放大器。构成D/A转换器

116、时要外接运算放大器,有时还要外接电阻。有的芯片中包含数据锁存器(寄存器)及一些逻辑功能电路,可以和微处理器相连接,应用较为广泛;有的则不包含这些电路。常用的D/A转换芯片有八位、十位、十二位、十六位等品种。DAC0832D/A转换器芯片。1原理框图原理框图DAC0832是采用CMOS工艺制成的双列直插式8位D/A转换器,其引脚排列图如图所示。DAC0832内部有两个8位数据锁存器(或称作寄存器)、一个T型电阻网络和3个控制逻辑门。2引脚使用说明引脚使用说明(1):数字信号输入端,为最高位,为最低位。(2):数据锁存允许信号(输入),高电平有效。(3):片选信号(输入),低电平有效。(4):第1

117、写信号(输入),低电平有效。上述三个输入信号可控制输入寄存器是数据直通方式还是数据锁存方式,当 、 和 时,为输入寄存器直通方式;当 、 和 时,为输入寄存器锁存方式。(5) :第2写信号(输入),低电平有效。(6) :数据传送控制信号(输入),低电平有效。上述两个输入信号可控制DAC寄存器是数据直通还是数据锁存方式,当 和 时,为DAC寄存器直通方式; 当 和 时,为DAC寄存器锁存方式。(7) :参考电压输入端,其电压可正可负,范围是1010V。(8) :电流输出1。 (9) :电流输出2。(10) :反馈电阻引线端。 DAC0832是电流输出,为了取得电压输出,需在电压输出端接运算放大器

118、,即为运算放大器的反馈电阻端。运算放大器的接法如图7-5所示。(11):模拟信号接地端。 (12):数字信号接地端 3DAC0832的工作方式的工作方式DAC0832在不同信号组合的控制下可实现直通、单缓冲和双缓冲3种工作方式。DAC0832是电流输出型D/A转换器,需要用运算放大器将输出电流转换为输出电压。电压的输出可分单极性输出和双极性输出两种。图7-5链接二链接二 A/D转换器转换器一、一、 A/D转换的基本原理转换的基本原理A/D转换指指模拟信号转换为数字信号。实现A/D转换的电路称为A/D转换器。在A/D转换器中,因为输入的模拟信号在时间上是连续的而输出的数字信号是离散的,所以转换只

119、能在一系列选定的瞬间对输入的模拟信号取样,然后再把这些取样值转换成数字量输出。整个A/D转换过程通常包括采样、保持、量化和编码4个步骤。二、逐次渐进型二、逐次渐进型ADC逐次渐进型A/D转换器是目前集成A/D转换器产品中用得最多的一种电路。逐次渐进型ADC的结构:取一个数字量加到D/A转换器上,于是得到一个对应的输出模拟电压,将这个模拟电压和输入的模拟电压信号相比较。如果两者不相等,则调整所取的数字量,直到两个模拟电压相等为止,最后所取的这个数字量即是要求的转换结果。逐次渐进型A/D转换器的工作原理可以用图7-8的框图来说明。图7-8逐次渐进型A/D转换器的电路结构框图转换器的电路包含比较器、

120、D/A转换器、寄存器、时钟脉冲源和控制逻辑等5个组成部分。转换开始前先将寄存器清零,所以加给D/A转换器的数字量也全是0。转换控制信号变为高电平时开始转换,时钟信号首先将寄存器的最高位置成1,使寄存器的输出为10000。这个数字量被D/A转换器转换成相应的模拟电压,并送到比较器与输入信号进行比较。如果,说明数字量过大,则这个1应去掉;如果,说明数字量还不够大,这个1应予保留。然后,再按同样的方法将次高位置1,并比较与的大小以确定这一位的1是否应当保留。这样逐位比较下去,直到最低位比较完为止。这时寄存器里所存的数码即是要求的输出数字量。三、三、A/D转换器的重要技术参数转换器的重要技术参数1转换

121、精度转换精度A/D转换器也采用分辨率(又称分解度)和转换误差来描述转换精度。分辨率分辨率是指输出数字量变化一个最低位所对应的输入模拟量需要变化的量。分辨率以输出二进制或十进制数的位数表示,它说明A/D转换器对输入信号的分辨能力。A/D转换器位数越多,分辨率越高。 (2)转换误差转换误差通常以输出误差最大值的形式给出,它表示实际的转换点偏离理想特性的误差。一般以最低有效位(LSB)的倍数给出。有时也用满量程输出的百分数给出转换误差。(3)转换速度转换速度是指A/D转换器从接到转换控制信号起到输出稳定的数字量为止所用的时间。主要取决于转换电路的类型,不同类型的A/D转换器的转换速度相差甚大。通常高

122、速的可达数百毫微秒,中速为数十微妙,低速为数十毫秒。四、四、 集成集成A/D转换器转换器集成A/D转换器种类繁多,包括八位、十位、十二位、十六位等种类,本书只简单介绍比较常用的八位A/D转换器ADC0809和十二位A/D转换器ADC574。1ADC0809 A/D转换芯片转换芯片ADC0809是典型的8位逐次逼近式A/D转换器。ADC0809采用双列直插式封装,共有28根管脚。ADC0809可以和微机直接连接,又由于性能一般能满足用户、价格低廉,因此应用十分广泛。如图7-9所示,ADC0809内部由八路模拟开关、地址锁存器和译码器、比较器、电阻网络、树状电子开关、逐次逼近寄存器、控制与定时电路

123、、三态输出锁存器等所组成。 图7-9ADC0809的逻辑框图:八路模拟电压输入端,在多路开关控制下,任一瞬间只能有一路模拟量经相应通道输入到A/D转换器中的比较放大器。 :模拟输入通道的抵制选择线。它的状态译码与选中模拟电压输入通道的关系见表7-1。ALE:地址锁存允许信号,高电平有效,只有当该信号有效时,才能将地址信号有效锁存,并经译码选中一个通道。START:脉冲输入信号启动端,其上升沿用以清除ADC内部寄存器,其下降沿用以启动内部控制逻辑,开始进行模数转换。CLOCK:转换定时时钟脉冲输入端。它的频率决定了A/D转换器的转换速度。只有时钟输入时,控制与时序电路才能工作。 :八位数据输出端

124、,可直接接入微型机的数据总线。OE:允许输出控制端,高电平有效。有效时能打开三态门,将八位转换后的数据送到数据输出线上。EOC:A/D转换结束信号,高电平有效。其上跳沿表示A/D转换器内部已转换完毕,作为通知数据接收设备取走已转换完的数据的信号。 和 :参考电压正端和负端。VCC为+5V,GND为地。A/D转换器芯片内部各部分功能和工作过程简介如下:8个模拟输入端可对八路模拟信号进行转换,但某一时刻只能选择一路进行转换,通道选择由地址锁存器将通道地址锁存经译码器来控制八路模拟开关实现。在图9-14的虚线框内,采用逐次逼近式A/D转换,转换成8位数字量,转换结果送到三态输出锁存器,当输出允许信号OE有效时,选通输出锁存缓冲器,把结果送到数据线即可读取。有时为了提高A/D转换的精密度,可采用高分辨率的A/D转换器,如10位、12位或更高位数。2ADC574 A/D转换芯片转换芯片AD574就是12位逐次逼近式A/D转换器,其转换精度高、速度快,且内部设有时钟电路和参考电压源,但价格较高,适用于高精度快速采样系统中。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号