VHDL语言与数字集成电路设计之数字集成电路的结构特点

上传人:鲁** 文档编号:569382455 上传时间:2024-07-29 格式:PPT 页数:53 大小:684.52KB
返回 下载 相关 举报
VHDL语言与数字集成电路设计之数字集成电路的结构特点_第1页
第1页 / 共53页
VHDL语言与数字集成电路设计之数字集成电路的结构特点_第2页
第2页 / 共53页
VHDL语言与数字集成电路设计之数字集成电路的结构特点_第3页
第3页 / 共53页
VHDL语言与数字集成电路设计之数字集成电路的结构特点_第4页
第4页 / 共53页
VHDL语言与数字集成电路设计之数字集成电路的结构特点_第5页
第5页 / 共53页
点击查看更多>>
资源描述

《VHDL语言与数字集成电路设计之数字集成电路的结构特点》由会员分享,可在线阅读,更多相关《VHDL语言与数字集成电路设计之数字集成电路的结构特点(53页珍藏版)》请在金锄头文库上搜索。

1、数字集成电路的结构特点数字集成电路的结构特点(CMOSCMOS电路)电路)MOSMOS晶体管模型晶体管模型组合逻辑基本结构组合逻辑基本结构逻辑单元的优化设计逻辑单元的优化设计组合单元的规模约束问题组合单元的规模约束问题时序逻辑的时间关系问题时序逻辑的时间关系问题MOSMOS晶体管模型晶体管模型典型尺度参数为:典型尺度参数为:沟道宽度沟道宽度W W、沟道长度、沟道长度L L,逻辑面积,逻辑面积A A;MOSMOS晶体管电学模型晶体管电学模型典型参数为:典型参数为:导通电阻、栅极电容、漏极电容和源极电容导通电阻、栅极电容、漏极电容和源极电容电学参数与尺度参数的关系电学参数与尺度参数的关系在电路单元

2、设计时,为了提高集成度,通常沟在电路单元设计时,为了提高集成度,通常沟道长度总是希望保持最小值,而沟道宽度却可道长度总是希望保持最小值,而沟道宽度却可以进行加长;以进行加长;CMOSCMOS基本电路结构基本电路结构通常采用通常采用N N网络与网络与P P网络互补连接构成:网络互补连接构成:N N网络实现逻辑,并联为网络实现逻辑,并联为“与与”,串联为,串联为“或或”典型典型CMOSCMOS基本电路基本电路CMOSCMOS反相器反相器 典型典型CMOSCMOS基本电路基本电路与非门和或非门与非门和或非门典型典型CMOSCMOS基本电路基本电路与或非结构(与或非结构(AOIAOI)CMOSCMOS

3、传输门(传输门(TGTG)电路)电路采用采用N N晶体管和晶体管和P P晶体管并接构成,两管的栅极晶体管并接构成,两管的栅极接互补控制电平。接互补控制电平。CMOSCMOS传输门(传输门(TGTG)电路)电路异或门异或门MUX2MUX2 基于基于CMOSCMOS传输门(传输门(TGTG)电路)电路异或门异或门MUX2MUX2 基于基于CMOSCMOS传输门(传输门(TGTG)电路)电路MUX2MUX2 的应用形式的应用形式CMOSCMOS组合逻辑单元的设计优化组合逻辑单元的设计优化目标:目标:实现要求的逻辑功能;实现要求的逻辑功能;减少电路的时间延迟;减少电路的时间延迟;降低电路功耗;降低电路

4、功耗;提高电路集成度。提高电路集成度。最小晶体管最小晶体管所有设计尺度都采用版图设计规则所能容许所有设计尺度都采用版图设计规则所能容许的最小尺度进行设计。的最小尺度进行设计。参数表征基本单位:参数表征基本单位:设定对于设定对于NMOSNMOS的最小晶体管:沟道宽度的最小晶体管:沟道宽度W=1W=1,导通电阻,导通电阻R=1R=1,栅极电容,栅极电容Cg=1Cg=1,逻辑面积,逻辑面积A=1A=1;单元电路的时间延迟单元电路的时间延迟电路的时间延迟主要是由于随着状态的改变,电路的时间延迟主要是由于随着状态的改变,电路通过导通电阻为相关的电容充电和放电电路通过导通电阻为相关的电容充电和放电导致的。

5、导致的。若导通电阻为若导通电阻为R R,连接到输出端上的总电容,连接到输出端上的总电容为为C C,则延迟时间可以粗略表达为,则延迟时间可以粗略表达为t=RCt=RC。单元电路的优化单元电路的优化基本单元电路主要指基本单元电路主要指INVINV,NANDNAND,NORNOR,AOIAOI等;等;设计优化主要有面积优化和性设计优化主要有面积优化和性能优化两种方案;能优化两种方案;面积优化的设计面积优化的设计面积优化设计时,所有晶体管的面积均采用面积优化设计时,所有晶体管的面积均采用最小晶体管形式。可以采用预先制备的标准晶最小晶体管形式。可以采用预先制备的标准晶体管阵列形式进行设计,只考虑晶体管之

6、间的体管阵列形式进行设计,只考虑晶体管之间的连线问题,设计过程相对简单。连线问题,设计过程相对简单。面积优化的特点面积优化的特点逻辑单元的逻辑面积就等于该单元所使用的晶逻辑单元的逻辑面积就等于该单元所使用的晶体管数量。体管数量。每个输入端的输入电容都等于每个输入端的输入电容都等于2 2;每个输出端;每个输出端的输出电容等于该输出端直接连接的晶体管数的输出电容等于该输出端直接连接的晶体管数量乘以量乘以3 3。面积优化的特点面积优化的特点逻辑单元的输出电阻取决于导通支路上串联晶逻辑单元的输出电阻取决于导通支路上串联晶体管的数量。体管的数量。对于对于N N管,导通电阻为管,导通电阻为1 1;对于对于

7、P P管,导通电阻为管,导通电阻为2 2。根据逻辑的不同以及输出电平的不同,输出电根据逻辑的不同以及输出电平的不同,输出电阻会有较大差异。阻会有较大差异。面积优化的特点面积优化的特点 逻辑面积逻辑面积 上升时间上升时间 下降时间下降时间 INVINV: 2 2 16 16 8 8NAND(n): NAND(n): 2n 2n 6n+10 6n+10 n(3n+5)n(3n+5)NOR(n): NOR(n): 2n 2n 3n+5 3n+5 2n(3n+5) 2n(3n+5) AOI(2,2): AOI(2,2): 8 8 52 52 3232AOI(3,3): AOI(3,3): 12 12

8、94 94 4242假定扇出系数均为假定扇出系数均为1 1进行计算进行计算面积优化的问题面积优化的问题逻辑单元的输出电阻可以有很大的变化,逻辑单元的输出电阻可以有很大的变化,导致输出端上升时间和下降时间的不一致;导致输出端上升时间和下降时间的不一致;不同的逻辑单元也具有不同的输出电阻,不同的逻辑单元也具有不同的输出电阻,这使电路的时间性能设计显得非常复杂。这使电路的时间性能设计显得非常复杂。性能优化的设计性能优化的设计性能优化的要点是保持所有逻辑单元的输出性能优化的要点是保持所有逻辑单元的输出电阻为最小(都等于电阻为最小(都等于1 1),上升时间和下降时),上升时间和下降时间能够保持一致,在此

9、情况下,延迟时间单纯间能够保持一致,在此情况下,延迟时间单纯取决于逻辑单元的电容。取决于逻辑单元的电容。这一方案可以简化电路性能的设计,同时提这一方案可以简化电路性能的设计,同时提高电路的速度。高电路的速度。性能优化的规则性能优化的规则沟道长度设置为最小尺度,通过调整沟道宽沟道长度设置为最小尺度,通过调整沟道宽度使电阻一致。度使电阻一致。P P管的宽度大于管的宽度大于N N管(管(=2=2););当当n n个晶体管串联时,宽度应该增加为个晶体管串联时,宽度应该增加为n n倍;倍;沟道宽度增加时,相关电容和逻辑面积成比沟道宽度增加时,相关电容和逻辑面积成比例增加。例增加。一些典型逻辑器件的优化设

10、计一些典型逻辑器件的优化设计一些典型逻辑器件的优化设计一些典型逻辑器件的优化设计 延迟时间延迟时间 逻辑面积逻辑面积 INVINV: 1212 3 3 NAND(n): 10n+2 NAND(n): 10n+2 n n2 2+2n+2nNOR(n): NOR(n): 11n+1 11n+1 2n2n2 2+n +n AOI(2,2): AOI(2,2): 42 42 24 24 ( (相当于相当于NAND4)NAND4)AOI(3,3): AOI(3,3): 62 62 48 48 ( (相当于相当于NAND6)NAND6)面积优化与逻辑优化的对比面积优化与逻辑优化的对比 逻逻辑辑面面积积延延

11、迟迟时间时间上上升升时间时间下下降降时间时间逻逻 辑辑面积面积延延 迟迟时间时间上上升升时间时间下下 降降时间时间INVINV2/32/3121216168 8NAND2NAND2 4/84/8222222222222NOR2NOR2 4/104/10232311114444NAND3NAND3 6/156/15323242422828NOR3NOR3 6/216/21343414148282NAND4NAND4 8/248/24424268683434NOR4NOR4 8/368/3645451717136136NAND6NAND6 12/4812/4862621381384646NOR6N

12、OR6 12/7812/78 67672323276276电路性能优化对扇入的限制电路性能优化对扇入的限制采用小规模单元电路可以提高电路采用小规模单元电路可以提高电路速度,节约电路资源速度,节约电路资源电路基本单元的结构电路基本单元的结构基本单元结构基本单元结构 INVINV,NAND2-4NAND2-4,NOR2-4NOR2-4,AOIAOI(2222););电路基本单元的结构电路基本单元的结构增加反相器实现的同相基本单元增加反相器实现的同相基本单元 AND2-3AND2-3,OR2-3OR2-3;电路基本单元的结构电路基本单元的结构采用并行分级实现的单元采用并行分级实现的单元对传输结构的分

13、析对传输结构的分析采用性能优化时,逻辑面积采用性能优化时,逻辑面积A=3A=3;从输入到输出的导通电阻为从输入到输出的导通电阻为0.50.5;输入输入/ /输出电容为输出电容为1818;设其前后级均为设其前后级均为NAND2NAND2,插入该传输缓冲对电,插入该传输缓冲对电路延迟时间的增加为路延迟时间的增加为反相三态门的实现方案反相三态门的实现方案 逻辑模块扇出与驱动能力逻辑模块扇出与驱动能力在逻辑功能单元内部设计时,可以忽略在逻辑功能单元内部设计时,可以忽略连线延迟(电容);连线延迟(电容);考虑模块之间的连接时,连线延迟成为考虑模块之间的连接时,连线延迟成为主要延迟因素;主要延迟因素;通过

14、减小输出电阻,增加驱动能力,可通过减小输出电阻,增加驱动能力,可以有效减少连线延迟,提高电路速度;以有效减少连线延迟,提高电路速度;逻辑模块扇出与驱动能力逻辑模块扇出与驱动能力若某逻辑单元的输出连接线等效电容为若某逻辑单元的输出连接线等效电容为200200电路的时序设计电路的时序设计考虑到电路效率,组合逻辑块的输入数量受到考虑到电路效率,组合逻辑块的输入数量受到限制,必须进行分级运算;限制,必须进行分级运算;对于一个组合单元,通常要求一次输入导致的对于一个组合单元,通常要求一次输入导致的输出变化稳定之后才能进行下一次输出;输出变化稳定之后才能进行下一次输出;协调各单元输出变化的时间成为电路设计

15、中最协调各单元输出变化的时间成为电路设计中最复杂的问题。复杂的问题。电路的时序设计电路的时序设计采用流水线设计方式,将组合分割为小的模块,采用流水线设计方式,将组合分割为小的模块,各模块之间的数据交换通过寄存器进行,可以各模块之间的数据交换通过寄存器进行,可以提高电路效率。提高电路效率。电路的时序设计电路的时序设计为了提高电路的性能,时序设计最重要的是处为了提高电路的性能,时序设计最重要的是处理好各组合模块的分级问题,使所有模块的处理好各组合模块的分级问题,使所有模块的处理时间趋于一致;理时间趋于一致;同时在设计中需要处理好与寄存器有关的时间同时在设计中需要处理好与寄存器有关的时间关系。关系。

16、寄存器(触发器)的基本结构和特点寄存器(触发器)的基本结构和特点依靠反馈环形成的正反馈保持数据;依靠反馈环形成的正反馈保持数据;正反馈的建立需要时间:建立时间;正反馈的建立需要时间:建立时间;当输入到反馈环中的信号脉冲小于建立时间时,当输入到反馈环中的信号脉冲小于建立时间时,反馈环会进入亚稳态或振荡状态。反馈环会进入亚稳态或振荡状态。锁存器结构与特点锁存器结构与特点S-RS-R锁存器(锁存器(latchlatch)状态的转换需要时间!状态的转换需要时间! 锁存器结构与特点锁存器结构与特点S-RS-R锁存器(锁存器(latchlatch)状态的转换需要时间!状态的转换需要时间! 锁存器结构与特点

17、锁存器结构与特点S-RS-R锁存器(锁存器(latchlatch)当输入信号的持续时间过短时,寄存器无法建当输入信号的持续时间过短时,寄存器无法建立稳定状态,将会进入振荡状态(亚稳态)!立稳定状态,将会进入振荡状态(亚稳态)!输入信号必须脉冲宽度必须大于最小脉冲宽度。输入信号必须脉冲宽度必须大于最小脉冲宽度。锁存器结构与特点锁存器结构与特点钟控钟控D D锁存器(锁存器(latchlatch)通过时钟控制信号控制输入端,当通过时钟控制信号控制输入端,当C=0C=0时,时,信号不能输入;信号不能输入;C=1C=1时,输入总是具有确时,输入总是具有确定的电平,可以摆脱亚稳态。定的电平,可以摆脱亚稳态

18、。锁存器结构与特点锁存器结构与特点钟控钟控D D锁存器(锁存器(latchlatch)C C从从1 1转为转为0 0可能导致输入信号被切割,使可能导致输入信号被切割,使电路进入亚稳态。电路进入亚稳态。锁存器结构与特点锁存器结构与特点钟控钟控D D锁存器的传输结构锁存器的传输结构无论导通还是截断期间,只要不在建立时间内无论导通还是截断期间,只要不在建立时间内变化,任何尖峰脉冲都不会导致亚稳态。变化,任何尖峰脉冲都不会导致亚稳态。同样存在建立时间问题!同样存在建立时间问题! 锁存器结构与特点锁存器结构与特点锁存器的时钟控制端容易受干扰,任何尖锁存器的时钟控制端容易受干扰,任何尖峰脉冲都可能导致亚稳

19、态;峰脉冲都可能导致亚稳态;在电路设计中,通常希望避免锁存器的出在电路设计中,通常希望避免锁存器的出现。不允许进行将组合电路的输出作为时现。不允许进行将组合电路的输出作为时钟控制的设计。钟控制的设计。触发器结构与特点触发器结构与特点D D触发器(触发器(flip-flop)flip-flop)2 2个个D D锁存器串接形成主从结构,状态互补;锁存器串接形成主从结构,状态互补;状态只在时钟边沿变化,只传递触发边沿之前的状态只在时钟边沿变化,只传递触发边沿之前的输入;输入;只需考虑主锁存器的建立时间问题;只需考虑主锁存器的建立时间问题;关于建立时间和保持时间关于建立时间和保持时间建立时间:建立时间

20、: 在时钟触发沿之前信号不能变动的时间;在时钟触发沿之前信号不能变动的时间;保持时间:保持时间: 在时钟触发沿之后信号不能变动的时间;在时钟触发沿之后信号不能变动的时间;关于建立时间和保持时间关于建立时间和保持时间对于对于D D触发器端口的时钟沿,只需要考虑建触发器端口的时钟沿,只需要考虑建立时间问题;立时间问题;由于外部时钟信号需要通过长连线延迟才能由于外部时钟信号需要通过长连线延迟才能到达触发器端口,就会将内部建立时间分割到达触发器端口,就会将内部建立时间分割为建立时间和保持时间两段。为建立时间和保持时间两段。关于建立时间和保持时间关于建立时间和保持时间建立时间建立时间+ +保持时间保持时

21、间= =内部建立时间;内部建立时间;注意:建立时间可能为负值。注意:建立时间可能为负值。时序电路的常用时间关系时序电路的常用时间关系tclk 时钟周期;电路能够具有的最短时钟周期;时钟周期;电路能够具有的最短时钟周期;tskew时钟偏斜;各触发器接收到触发信号时刻的偏离程时钟偏斜;各触发器接收到触发信号时刻的偏离程度;度;时序电路的常用时间关系时序电路的常用时间关系tcq:触发器传输时间;从外部时钟触发时刻到触发器输触发器传输时间;从外部时钟触发时刻到触发器输出状态完成改变所需要的时间;出状态完成改变所需要的时间;tcom:组合延迟时间;从组合逻辑输入变化到产生稳定组合延迟时间;从组合逻辑输入

22、变化到产生稳定输出所需要的时间;输出所需要的时间;tset:建立时间;外部时间触发之前,触发器输入数据需建立时间;外部时间触发之前,触发器输入数据需要保持不变;要保持不变;thold:保持时间;外部时间触发之后,触发器输入数据保持时间;外部时间触发之后,触发器输入数据需要保持不变;需要保持不变;时序电路的常用时间关系时序电路的常用时间关系最小时钟周期关系:最小时钟周期关系:建立时间容限:建立时间容限:保持时间容限:保持时间容限:数字集成电路的设计要求数字集成电路的设计要求电路的设计当然要以完成电路的功能为基本电路的设计当然要以完成电路的功能为基本要求,但是更重要的目标是实现电路的优化:要求,但是更重要的目标是实现电路的优化: 电路简化、集成度的提高、成本降低;电路简化、集成度的提高、成本降低; 电路速度电路速度/ /频率的提高;频率的提高; 设计周期和成本的降低。设计周期和成本的降低。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号