电子技术ch3时序逻辑电路80学时修改版

上传人:博****1 文档编号:569380556 上传时间:2024-07-29 格式:PPT 页数:118 大小:3.53MB
返回 下载 相关 举报
电子技术ch3时序逻辑电路80学时修改版_第1页
第1页 / 共118页
电子技术ch3时序逻辑电路80学时修改版_第2页
第2页 / 共118页
电子技术ch3时序逻辑电路80学时修改版_第3页
第3页 / 共118页
电子技术ch3时序逻辑电路80学时修改版_第4页
第4页 / 共118页
电子技术ch3时序逻辑电路80学时修改版_第5页
第5页 / 共118页
点击查看更多>>
资源描述

《电子技术ch3时序逻辑电路80学时修改版》由会员分享,可在线阅读,更多相关《电子技术ch3时序逻辑电路80学时修改版(118页珍藏版)》请在金锄头文库上搜索。

1、(5-1)第第3章章 时序逻辑电路时序逻辑电路 3.2 时序逻辑电路的分析时序逻辑电路的分析 3.4 寄存器寄存器 3.3 计数器的分析和设计计数器的分析和设计 3.1 触发器触发器(2-2)一、一、 概述概述 3.1 触发器触发器触发器输出有两种可能的状态:触发器输出有两种可能的状态:0、1;输出状态不只与现时的输入有关,还输出状态不只与现时的输入有关,还与原来的输出状态有关;与原来的输出状态有关;触发器是有记忆功能的逻辑部件。触发器是有记忆功能的逻辑部件。按功能分类:按功能分类:R-S触发器、触发器、D型触发器、型触发器、JK触发器、触发器、T型等。型等。(2-3)二、触发器的基本形式二、

2、触发器的基本形式&a&b反馈反馈两个输入端两个输入端两个输出端两个输出端(2-4)&a&b输入输入RD=0, SD=1时时若原状态:若原状态:11001010输出仍保持:输出仍保持:(2-5)&a&b输入输入RD=0, SD=1时时若原状态:若原状态:01111010输出变为:输出变为:(2-6)输入输入RD=1, SD=0时时若原状态:若原状态:10101011输出变为:输出变为:&a&b(2-7)输入输入RD=1, SD=0时时若原状态:若原状态:00110101输出保持:输出保持:&a&b(2-8)输入输入RD=1, SD=1时时若原状态:若原状态:10111001输出保持原状态:输出保

3、持原状态:&a&b(2-9)输入输入RD=1, SD=1时时若原状态:若原状态:01110110输出保持原状态:输出保持原状态:&a&b(2-10)输入输入RD=0, SD=0时时0011输出全是输出全是1但当但当RD=SD=0同时变为同时变为1时,翻转快时,翻转快的门输出变为的门输出变为0,另一个不得翻转。,另一个不得翻转。&a&b(2-11)基本触发器的功能表基本触发器的功能表(2-12)1、触发器是双稳态器件,只要令、触发器是双稳态器件,只要令RD=SD=1,触发器即保持原态。稳态情况下,两,触发器即保持原态。稳态情况下,两输出互补。一般定义输出互补。一般定义Q为触发器的状态。为触发器的

4、状态。2、在控制端加入负脉冲,可以使触发器状、在控制端加入负脉冲,可以使触发器状态变化。态变化。SD端加入负脉冲,使端加入负脉冲,使Q=1,SD称为称为“置位置位”或或“置一置一”端。端。RD端加入端加入负脉冲,使负脉冲,使Q=0,RD称为称为“复位复位”或或“清清0”端。端。(2-13)三、三、 触发器按逻辑功能的分类触发器按逻辑功能的分类1 同步同步RS触发器触发器&c&d&a&bCP时钟信号时钟信号直接置直接置0或置或置1(2-14)&c&d&a&bCPCP=0时011触发器保持原态触发器保持原态(2-15)CP=1时1&c&d&a&bCP(2-16) 同步同步RS触发器的功能表触发器的

5、功能表(2-17)简化的功能表简化的功能表Qn+1 -下一状态(下一状态(CP过后)过后)Qn -原状态原状态 同步同步RS触发器的状态方程:触发器的状态方程: 同步同步RS触发器的功能表触发器的功能表(2-19)RDSDRSCQ逻辑符号:逻辑符号: 同步同步RS触发器触发器状态方程:状态方程:同步同步RS触发器功能:触发器功能:当当C=1时时动作特点是动作特点是高电平高电平触发触发(2-20)例:画出例:画出RS触发器的输出波形触发器的输出波形 。CPRSQSetReset使输出全为使输出全为1CP撤去后撤去后状态不定状态不定(2-21)(3)JK触发器触发器R2S2CF从从R1S1CF主主

6、CPKJJK触发器触发器的功能最完的功能最完善,有两个善,有两个控制端控制端J、K。(2-22)JK触发器触发器的功能的功能=0=0被封锁被封锁保持原态保持原态J=K=0时:时:R2S2CF从从R1S1CF主主CPKJ(2-23)JK触发器触发器的功能的功能=1=1相当于相当于T触触发器发器T=1J=K=1时:时:R2S2CF从从R1S1CF主主CPKJ(2-24)JK触发器触发器的功能的功能=0=1 Qn=0时时01Qn+1=11J=1,K=0时:时:分两种情况分两种情况(Q=0,Q=1)R2S2CF从从R1S1CF主主CPKJ(2-25)JK触发器触发器的功能的功能=0=1 Qn=1时时1

7、000F主被封主被封保持原态保持原态Qn+1 =1R2S2CF从从R1S1CF主主CPKJ(2-26)JK触发器触发器的功能的功能=1=0Qn+1=0同样原理:同样原理:J=0,K=1时:时:R2S2CF从从R1S1CF主主CPKJ(2-27)功能表功能表逻辑符号逻辑符号RDSDCQKJ状态方程状态方程:(5-28)逻辑符号:逻辑符号: 主从主从JK触发器触发器状态方程:状态方程:同步同步RS触发器功能:触发器功能:当当C为下降沿时为下降沿时动作特点是动作特点是下降沿下降沿触发触发RDSDCQKJ(2-29)时序图时序图CPKJQJQ 保持保持T(2-30)触发器触发器的功能的功能RDSDCQ

8、KJ(2-31)1. JK触发器转换成触发器转换成D触发器触发器CQKJD CP四触发器之间的转换四触发器之间的转换(2-32)2. JK触发器转换成触发器转换成T触发器触发器CQKJTCP(2-33)3. D触发器转换成触发器转换成T触发器触发器CQDCP(2-34)五、应用举例五、应用举例例例1: 写出下图电路输出逻辑表达式写出下图电路输出逻辑表达式(2-35)例例2:写出下图电路输出逻辑表达式写出下图电路输出逻辑表达式(2-36)例例3:图中各触发器的初始状态图中各触发器的初始状态Q0,试画出在,试画出在CP信号连续作用下触发器信号连续作用下触发器Q端的电压波形。端的电压波形。(2-37

9、)例例4:四人抢答电路四人抢答电路。四人参加比赛,每。四人参加比赛,每人一个按钮,其中一人按下按钮后,相应人一个按钮,其中一人按下按钮后,相应的指示灯亮。并且,其它按钮按下时不起的指示灯亮。并且,其它按钮按下时不起作用。作用。电路的核心是电路的核心是74LS175四四D触发器。它触发器。它的内部包含了四个的内部包含了四个D触发器,各输入、输出触发器,各输入、输出以字头相区别,管脚图见下页。以字头相区别,管脚图见下页。(2-38)CLRD CPQCLRD CPQCLRD CPQCLRD CPQ1Q1D2Q2DGND4Q4D3Q3D时钟时钟请零请零USC公用清零公用清零公用时钟公用时钟74LS17

10、5管脚图管脚图(2-39)+5VD1D2D3D4 CLRCP& 1& 2& 2清零清零CP赛前先清零赛前先清零0输出为零发输出为零发光管不亮光管不亮(2-40)D1D2D3D4 CLRCP+5V& 1& 2& 2清零清零CP1反相端都为反相端都为11开启开启(2-41)D1D2D3D4 CLRCP& 1& 2& 2清零清零CP+5V若有一按钮被按下,若有一按钮被按下,比如第一个钮。比如第一个钮。=1=000被封被封这时其它按钮被这时其它按钮被按下也没反应按下也没反应(5-42) 3.2 时序逻辑电路的分析时序逻辑电路的分析1 1、 时序逻辑电路的结构及特点时序逻辑电路的结构及特点时序电路的特点

11、:时序电路的特点:(1 1)含有具有记忆元件(最常用的是触发器)。)含有具有记忆元件(最常用的是触发器)。(2 2)具有反馈通道。具有反馈通道。(5-43)状态方程:状态方程:驱动方程:驱动方程:输出方程:输出方程:(5-44)2、时序逻辑电路的类型、时序逻辑电路的类型米利型(有输入信号米利型(有输入信号X)和穆尔型和穆尔型(有输入信号有输入信号X )P251米利型米利型穆尔型穆尔型(5-45)3、时序逻辑电路的功能描述、时序逻辑电路的功能描述)逻辑方程)逻辑方程)状态转移表)状态转移表)状态图)状态图)时序图)时序图分析时序逻辑电路的一般步骤分析时序逻辑电路的一般步骤 a a由逻辑图写出下列

12、各逻辑方程式:由逻辑图写出下列各逻辑方程式: (1 1)各触发器的时钟方程。(判断是同步还是异步)各触发器的时钟方程。(判断是同步还是异步) (2 2)时序电路的输出方程。)时序电路的输出方程。 (3 3)各触发器的驱动方程。)各触发器的驱动方程。 b b将将驱驱动动方方程程代代入入相相应应触触发发器器的的特特性性方方程程,求求得得时时序序逻逻辑电路的状态方程。辑电路的状态方程。 c c根根据据状状态态方方程程和和输输出出方方程程,列列出出该该时时序序电电路路的的状状态态表表,画出状态图或时序图。画出状态图或时序图。 d d根根据据电电路路的的状状态态表表或或状状态态图图说说明明给给定定时时序

13、序逻逻辑辑电电路路的的逻辑功能。逻辑功能。4 4、 时序逻辑电路的一般分析方法时序逻辑电路的一般分析方法(5-47)同步时序逻辑电路的分析举例同步时序逻辑电路的分析举例例例1:试分析下图所示的时序逻辑电路。:试分析下图所示的时序逻辑电路。解:解:该电路为同步时序逻辑电路,时钟方程可以不写该电路为同步时序逻辑电路,时钟方程可以不写。(1)写出输出方程:)写出输出方程: (2 2)写出驱动方程:)写出驱动方程:(5-48)(3)写出)写出JK触发器的特性方程,然后将各驱动方程代入触发器的特性方程,然后将各驱动方程代入JK触发器的特性方程,得各触发器的次态方程:触发器的特性方程,得各触发器的次态方程

14、:(4)作状态转换表及状态图)作状态转换表及状态图 当当X=0时:触发器的次态方程简化为:时:触发器的次态方程简化为:输出方程简化为:输出方程简化为:由此作出:由此作出: 状态表状态表状态图状态图当当X=1时:时:触发器的次态方程简化为:触发器的次态方程简化为:输出方程简化为:输出方程简化为:由此作出状态表及状态图。由此作出状态表及状态图。将将X=0与与X=1的状态图合并的状态图合并 起来得完整的状态图。起来得完整的状态图。(5-50)根据状态表或状态图,可画出在根据状态表或状态图,可画出在CP脉冲作用下电路的时序图。脉冲作用下电路的时序图。(5 5)画时序波形图。)画时序波形图。(5-51)

15、(6 6)逻辑功能分析:)逻辑功能分析:当当X=1=1时,按照减时,按照减1 1规律从规律从1001001010010010循环变化,循环变化,并每当转换为并每当转换为0000状态(最小数)时,输出状态(最小数)时,输出Z=1=1。该电路一共有该电路一共有3 3个状态个状态0000、0101、1010。当当X=0=0时,按照加时,按照加1 1规律规律从从0001100000011000循环变化,循环变化,并每当转换为并每当转换为1010状态(最大数)时,状态(最大数)时,输出输出Z=1=1。所以该电路是一个所以该电路是一个可控的可控的3 3进制计数器进制计数器(5-52)例例2:分析图时序电路

16、的逻辑功能:分析图时序电路的逻辑功能:1. 1. 写出电路的驱动方程;写出电路的驱动方程; 2. 2. 状态方程;状态方程; 3.3.输出方程;输出方程; 4. 4. 画出电路的状态转换图;画出电路的状态转换图; 5. 5. 说明电路能否自启动说明电路能否自启动(12分)分)1 驱动方程驱动方程2 状态方程状态方程3 输出方程输出方程4 状态转换图状态转换图5 同步加法同步加法五进制五进制 计数器,且有自启动能力。计数器,且有自启动能力。(5-53)检查电路能否自启动检查电路能否自启动 用用同同样样的的分分析析的的方方法法分分别别求求出出3 3种种无无效效状状态态下下的的次次态态,得到完整的状

17、态转换图。可见,该计数器能够自启动。得到完整的状态转换图。可见,该计数器能够自启动。 由于电路中有由于电路中有3 3个触发器,它们的状态组合共有个触发器,它们的状态组合共有8 8种。种。而在该计数器中只用了而在该计数器中只用了5 5种,称为有效状态。其余种,称为有效状态。其余3 3种状态称为无效状态。种状态称为无效状态。当由于某种原因,使计数器进入无效状态时,如果能当由于某种原因,使计数器进入无效状态时,如果能在时钟信号作用下,最终进入有效状态,我们就称在时钟信号作用下,最终进入有效状态,我们就称该电路具有该电路具有自启动能力自启动能力。(5-54) 在同步计数器中,各个触发器都受同一时钟在同

18、步计数器中,各个触发器都受同一时钟脉冲脉冲 输入计数脉冲的控制,因此,它们状输入计数脉冲的控制,因此,它们状态的更新几乎是同时的,故被称为态的更新几乎是同时的,故被称为 “ 同步计数同步计数器器 ”。Q2Q2J2K2Q1Q1J1K1Q0Q0J0K0&计数脉冲计数脉冲CP例例3:试分析下图所示的:试分析下图所示的同步同步时序逻辑电路。时序逻辑电路。(5-55)Q2Q2J2K2Q1Q1J1K1Q0Q0J0K0&计数脉冲计数脉冲CP分析步骤分析步骤:1. 先列写控制端的逻辑表达式(驱动方程):先列写控制端的逻辑表达式(驱动方程):J2 = K2 = Q1 Q0J1 = K1 = Q0J0 = K0

19、= 1Q0: 来一个来一个CP,它就翻转一次;,它就翻转一次;Q1:当:当Q01时,它可翻转一次;时,它可翻转一次;Q2:只有当:只有当Q1Q011时,它才能翻转一次。时,它才能翻转一次。(因为是同步可以不写时钟方程)(因为是同步可以不写时钟方程)(5-56)2. 再列写状态转换表,分析其状态转换过程。再列写状态转换表,分析其状态转换过程。 2 0 0 1 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 0 1 1 0 0 1 3 0 1 0 0 0 0 0 1 1 0 1 14 0 1 1 1 1 1 1 1 1 1 0 0 5 1 0 0 0 0 0 0 1 1 1 0 1

20、 6 1 0 1 0 0 1 1 1 1 1 1 0 7 1 1 0 0 0 0 0 1 1 1 1 1 8 1 1 1 1 1 1 1 1 1 0 0 0CP Q2 Q1 Q0 J2 K2 J1 K1 J01 K01 Q2 Q1 Q0 Q1Q0Q1Q0Q0Q0 原状态原状态 控控 制制 端端 下状下状态态, ,最后由状态表得功能为:最后由状态表得功能为:三位二进制同步加法计数三位二进制同步加法计数一位八进制同步加法计数器一位八进制同步加法计数器或者或者0 0 00J2 = K2 = Q1 Q0J1 = K1 = Q0J0 = K0 = 1. 也可用状态方程得状态图或者状态表:也可用状态方程得

21、状态图或者状态表:000(5-58)CPQ0Q1Q23. 还可用还可用时序图得时序图得状态表或状态图状态表或状态图Q0: 来一个来一个CP,它就翻转一次;,它就翻转一次;Q1:当:当Q01时,它可翻转一次;时,它可翻转一次;Q2:只有当:只有当Q1Q011时,它才能翻转一次。时,它才能翻转一次。J2 = K2 = Q1 Q0J1 = K1 = Q0J0 = K0 = 1 2)异步计数器的分析)异步计数器的分析 在异步计数器中,有的触发器直接受输入计在异步计数器中,有的触发器直接受输入计数脉冲控制,有的触发器则是把其它触发器的数脉冲控制,有的触发器则是把其它触发器的输出信号作为自己的时钟脉冲,因

22、此各个触发输出信号作为自己的时钟脉冲,因此各个触发器状态变换的时间先后不一,故被称为器状态变换的时间先后不一,故被称为“ 异步异步计数器计数器 ”。Q2Q2J2K2Q1Q1J1K1Q0Q0J0K0计数计数脉冲脉冲CP(5-60)例例: 任意进制异步计数器的分析任意进制异步计数器的分析Q2Q2J2K2Q1Q1J1K1Q0Q0J0K0计数计数脉冲脉冲CP1 时钟方程时钟方程:J2 = Q1 Q0 , K2 1 J1 = K1 1 J0 = Q2 , K0 1 2 控制端的逻辑表达式控制端的逻辑表达式(驱动方程驱动方程):异步异步(5-61)2. 再列写状态转换表,分析其状态转换过程:再列写状态转换

23、表,分析其状态转换过程:Q2Q2J2K2Q1Q1J1K1Q0Q0J0K0计数计数脉冲脉冲CP 1 0 0 0 0 1 1 1 1 1 0 0 1 2 0 0 1 0 1 1 1 1 1 0 1 0 3 0 1 0 0 1 1 1 1 1 0 1 14 0 1 1 1 1 1 1 1 1 1 0 0 5 1 0 0 0 1 1 1 0 1 0 0 0CP Q2 Q1 Q0 J2 = K2 = J1 = K1 = J0 = K0 = Q2 Q1 Q0 Q1Q0 1 1 1 原状态原状态 控控 制制 端端 下状下状态态, 1Q2J2 = Q1 Q0 , K2 1 J1 = K1 1 J0 = Q2

24、, K0 1 电路功能为:电路功能为: 异步五进制加法计数器异步五进制加法计数器(5-62)Q2Q2J2K2Q1Q1J1K1Q0Q0J0K0计数计数脉冲脉冲CP3. 时序图:时序图:CP0 0 00 0 10 1 00 1 13012CP Q2 Q1 Q0 1 0 04状态表状态表(5-63)0 0 01 0 00 1 10 0 10 1 01 011 1 01 1 15、状态转换图、状态转换图自启动计数器自启动计数器J2 = Q1 Q0 , K2 1 J1 = K1 1 J0 = Q2 , K0 1 0 0 00 0 10 1 00 1 13012CP Q2 Q1 Q0 1 0 04状态表状

25、态表(5-64) 3.3 计数器的分析和设计计数器的分析和设计 计数器计数器用以统计输入脉冲用以统计输入脉冲CPCP个数的电路。个数的电路。计数器计数器功能功能 记忆输入脉冲的个数;用于定时、分频、产生记忆输入脉冲的个数;用于定时、分频、产生节拍脉冲及进行数字运算等等。节拍脉冲及进行数字运算等等。计数器计数器分类分类按计数器中触发器翻转是否与计数脉冲同步分为按计数器中触发器翻转是否与计数脉冲同步分为同步同步计数器和计数器和异步异步计数器。计数器。按数字的增减趋势可分为按数字的增减趋势可分为加法加法计数器、计数器、减法减法计数器计数器和和可逆可逆计数器计数器按按计数进制可分为二进制计数器和非二进

26、制计数器。计数进制可分为二进制计数器和非二进制计数器。非二进制计数器中最典型的是十进制计数器。非二进制计数器中最典型的是十进制计数器。(5-65) (一)二进制计数器(一)二进制计数器1 1二进制异步计数器二进制异步计数器 (1 1)二进制异步加法计数器()二进制异步加法计数器(4 4位)位) 工作原理:工作原理: 4个个JK触发器都接成触发器都接成T触发器。触发器。 每当每当Q2由由1变变0,FF3向相反的状态翻转一次。向相反的状态翻转一次。 每来一个每来一个CP的下降沿时,的下降沿时,FF0向相反的状态翻转一次;向相反的状态翻转一次; 每当每当Q0由由1变变0,FF1向相反的状态翻转一次;

27、向相反的状态翻转一次; 每当每当Q1由由1变变0,FF2向相反的状态翻转一次;向相反的状态翻转一次;(5-66)用用“观察法观察法”作出该电路的时序波形图和状态图。作出该电路的时序波形图和状态图。由由时时序序图图可可以以看看出出,Q0 0、Ql、Q2 2、Q3 3的的周周期期分分别别是是计计数数脉脉冲冲( (CP) )周周期的期的2 2倍、倍、4 4倍、倍、8 8倍、倍、1616倍,因而计数器也可作为分频器。倍,因而计数器也可作为分频器。(5-67)(2 2)二进制异步减法计数器)二进制异步减法计数器用用4 4个上升沿触发的个上升沿触发的D触发器组成的触发器组成的4 4位异步二进制减法计数器。

28、位异步二进制减法计数器。工作原理工作原理:D触发器也都接成触发器也都接成T触发器。触发器。 由由于于是是上上升升沿沿触触发发,则则应应将将低低位位触触发发器器的的Q端端与与相相邻邻高高位位触触发发器器的的时钟脉冲输入端相连,即从时钟脉冲输入端相连,即从Q端取借位信号。端取借位信号。 它也同样具有分频作用。它也同样具有分频作用。(5-68)二进制异步减法计数器的二进制异步减法计数器的时序波形图和状态图。时序波形图和状态图。在在异异步步计计数数器器中中,高高位位触触发发器器的的状状态态翻翻转转必必须须在在相相邻邻触触发发器器产产生生进进位位信信号号(加加计计数数)或或借借位位信信号号(减减计计数数

29、)之之后后才才能能实实现现,所所以以工工作作速速度度较较低低。为为了了提提高高计计数数速速度度,可采用同步计数器。可采用同步计数器。 (5-69)2 2二进制同步计数器二进制同步计数器(1 1)二进制同步加法计数器)二进制同步加法计数器由于该计数器的翻转规律性较强,只需用由于该计数器的翻转规律性较强,只需用“观察法观察法”就可设计就可设计出电路:出电路:因为是因为是“同步同步”方式,方式,所以将所有触发器的所以将所有触发器的CPCP端连在一起,接计端连在一起,接计数脉冲。数脉冲。 然后分析状态图,然后分析状态图,选择适当的选择适当的JKJK信号。信号。(5-70)分析状态图可见:分析状态图可见

30、:FF0 0:每来一个:每来一个CP,向相反的状态翻转一次。所以选向相反的状态翻转一次。所以选J0 0= =K0 0=1=1。FF1 1:当当Q0 0=1=1时时,来来一一个个CP,向向相相反反的的状状态态翻翻转转一一次次。所所以以选选J1 1= =K1 1= = Q0 0 。FF2 2:当当Q0 0Q1 1=1=1时时, 来来一一个个CP,向向相相反反的的状状态态翻翻转转一一次次。所所以以选选J2 2= =K2 2= = Q0 0Q1 1FF3 3: 当当Q0 0Q1 1Q3 3=1=1时时, 来来一一个个CP,向向相相反反的的状状态态翻翻转转一一次次。所所以以选选J3 3= =K3 3=

31、= Q0 0Q1 1Q3 3(5-71) 3.3 中规模中规模集成二进制计数器集成二进制计数器 异步清零。异步清零。(1) 74LS16174LS161具有以下功能:具有以下功能: 计数。计数。 同步并行预置数。同步并行预置数。RCO为进位输出端。为进位输出端。 保持。保持。(实验用芯片)(实验用芯片)(5-72)(5-73)只要清零(置数)信号到,不管有无时钟信只要清零(置数)信号到,不管有无时钟信号,输出端立即为号,输出端立即为 0 (置数)(置数), 其清零(置其清零(置数)方式通常称为数)方式通常称为“ 异步清零异步清零(置数)(置数) ”如果清零(置数)目的真正实现还需等待下如果清零

32、(置数)目的真正实现还需等待下一个时钟脉冲的到来以后才能够变为现实。一个时钟脉冲的到来以后才能够变为现实。这就是这就是“ 同步清零同步清零(置数)(置数) ”的含义。的含义。(5-74)(2)4位二进制同步可逆计数器位二进制同步可逆计数器74191(5-75)(二)非二进制计数器(二)非二进制计数器N进制计数器又称模进制计数器又称模N计数器。计数器。当当N=2n时,就是前面讨论的时,就是前面讨论的n位二进制计数器;位二进制计数器;当当N2n时,为非二进制计数器。时,为非二进制计数器。非二进制计数器中最常用的是十进制计数器。非二进制计数器中最常用的是十进制计数器。(5-76)集成十进制计数器集成

33、十进制计数器1 1)84218421BCD码同步加法计数器码同步加法计数器7416074160(实验用芯片)(实验用芯片) 异步清零。异步清零。 计数。计数。 同步并行预置数。同步并行预置数。RCO为进位输出端。为进位输出端。 保持。保持。(5-77)(5-78)(5-79)QCQAJKQBJKJKQDQDJKCPACPBR 0(1)R 0(2)S 9(2)S 9(1)QAQBQCQD74LS 90原理电路图原理电路图 2) 二二 - 五五 - 十进制计数器十进制计数器 74LS90(290)(5-80) 74LS90 内部含有两个独立的内部含有两个独立的 计数电路:一个是模计数电路:一个是模

34、 2 计数器计数器(CPA为其时钟,为其时钟,QA为其输出端为其输出端),另一个是,另一个是模模 5 计数器计数器(CPB为其时钟,为其时钟,QDQCQB为其输出端为其输出端)。 外部时钟外部时钟CP是先送到是先送到CPA还还 是先送到是先送到CPB,在,在QDQCQBQA这四个输出端会形成不同的码制。这四个输出端会形成不同的码制。QCQAJKQBJKJKQDQDJKCPACPBR 0(1)R 0(2)S 9(2)S 9(1)QAQBQCQD(5-81)CPACPBR 0(1)R 0(2)S9(2)S9(1)NCNC VCCQAQDQBQCGND1234567141312111098QAQDQ

35、BQCR 9(2)R 9(1)R 0(2)R 0(1)CPBCPA74LS9074LS 90管脚分布图管脚分布图(5-82)CPACPBQAQDQBQCR 9(2)R 9(1)R 0(2)R 0(1)74LS9074LS 90功能表功能表 7429074290的功能:的功能: 异步清零。异步清零。 计数。计数。 异步置数(置异步置数(置9 9)。)。 (5-83) 分析:计数时钟先进入分析:计数时钟先进入CPA时的计数编码。时的计数编码。CPACPCPBQBQDQCQA25QD QC QB 0 0 00 0 10 1 00 1 11 0 0QD QC QB CPB QA 0 0 0 0 0 0

36、 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 0 0 0 0 结论:上述连接方式形成结论:上述连接方式形成 8421 码。码。QD QC QB CPB QA 0 0 0 0 0 0 0 0 1 1 0 0 1 0 2 0 0 1 1 3 0 1 0 0 4 0 1 0 1 5 0 1 1 0 6 0 1 1 1 7 1 0 0 0 8 1 0 0 1 9 0 0 0 0 0 十进十进 制数制数(5-84) 再分析:计数时钟先进入再分析:计数时钟先进入CPB时的计数编码。时的计数编码。CPACPQA2CP

37、BQBQDQC5QD QC QB 0 0 00 0 10 1 00 1 11 0 0结论:上述连接方结论:上述连接方式形成式形成 5421 码。码。 0 0 0 0 QA QD QC QB CPA 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 QA QD QC QB CPA 0 0 0 1 1 0 0 1 0 2 0 0 1 1 3 0 1 0 0 4 1 0 0 0 5 1 0 0 1 6 1 0 1 0 7 1 0 1 1 8 1 1 0 0 9 0 0 0

38、 0 0 十进十进 制数制数(5-85)例例: 构成构成BCD码码六进制计数器。六进制计数器。QD QC QB QA0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 0 0 0R 0(1) = QBR 0(2) = QC令令即可即可CP0 1 1 0CPACPBQAQDQBQCR 9(2)R 9(1)R 0(2)R 0(1)74LS9074LS90的应用的应用(5-86)CPCPACPBQAQDQBQCR 9(2)R 9(1)R 0(2)R 0(1)74LS90讨论:讨论: 下述接法行不行下述接法行不行 ? 错在何处错在何处 ?警示:切切不可将输出端相互短

39、路警示:切切不可将输出端相互短路 !(5-87)CPCPACPBQAQDQBQCR 9(2)R 9(1)R 0(2)R 0(1)74LS90& 只有这样做才是正确的。只有这样做才是正确的。(5-88) 例:例: 用两片用两片74LS 90构成构成 36 进制进制8421码码计数器。计数器。QD QC QB QA 0 0 0 0 0 0 0 0 1 1 0 0 1 0 2 0 0 1 1 3 0 1 0 0 4 0 1 0 1 5 0 1 1 0 6 0 1 1 1 7 1 0 0 0 8 1 0 0 1 9 0 0 0 0 0 十进十进 制数制数 分析:分析:1. 如何解决片如何解决片间间进位

40、问题进位问题 ? 从右面的状态转换表从右面的状态转换表 中可以看到:个位片的中可以看到:个位片的 QD可以给十位片提供计可以给十位片提供计数脉冲信号。数脉冲信号。(5-89)分析:分析:2. 如何满足如何满足“ 36 进制进制 ”的要求?的要求?十十 位位 个个 位位 0 0 3 5. . . . .共有共有36个个 稳定状态稳定状态 3 60 0( 0011 0110 )(5-90)CPACPBQAQDQBQCR 9(2)R 9(1)R 0(2)R 0(1)74LS 90(十位十位)CPACPBQAQDQBQCR 9(2)R 9(1)R 0(2)R 0(1)74LS 90(个位个位)&CP

41、用两片用两片74LS 90构成构成 36 进制进制8421码码计数器计数器( 0011 0110 )(5-91) 例例: 用一片用一片74LS160构成六构成六进制计数器。进制计数器。QD QC QB QA0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 1六个六个 稳态稳态准备清零:准备清零: 使使 CLR 0+5V(2) 74LS160 的应用的应用COA B C DQBQCQDQACLR74LS160&CPETEP(5-92)(三)(三) 集成计数器的应用集成计数器的应用(1 1)同步级联。)同步级联。例例:用用两两片片4 4位位二二进进制制加加法法计计数

42、数器器7416174161采采用用同同步步级级联联方方式式 构构 成成 的的 8 8位位 二二 进进 制制 同同 步步 加加 法法 计计 数数 器器 , 模模 为为161616=25616=256。1 1计数器的级联计数器的级联(5-93)(2)用计数器的输出端作进位)用计数器的输出端作进位/借位端借位端有的集成计数器没有进位有的集成计数器没有进位/借位输出端,这时可根据具体情况,借位输出端,这时可根据具体情况,用计数器的输出信号用计数器的输出信号Q3、Q2、Q1、Q0产生一个进位产生一个进位/借位。借位。例:如用两片例:如用两片74290采用异步级联方式组成的二位采用异步级联方式组成的二位8

43、421BCD码十进制加法计数器。码十进制加法计数器。 模为模为1010=100(5-94)计数器的进位计数器的进位/借位端借位端(5-95)2 2组成任意进制计数器组成任意进制计数器(1)异步清零法)异步清零法 异步清零法适用于具有异步清零端的集成计数器。异步清零法适用于具有异步清零端的集成计数器。例:用集成计数器例:用集成计数器74160和与非门组成的和与非门组成的6进制计数器。进制计数器。(5-96)(2)同步清零法)同步清零法同步清零法适用于具有同步清零端的集成计数器。同步清零法适用于具有同步清零端的集成计数器。例:用集成计数器例:用集成计数器74163和与非门组成的和与非门组成的6进制

44、计数器。进制计数器。(5-97)(3)异步预置数法)异步预置数法异步预置数法适用于具有异步预置端的集成计数器。异步预置数法适用于具有异步预置端的集成计数器。例:用集成计数器例:用集成计数器74191和与非门组成的余和与非门组成的余3码码10进制计进制计数器。数器。(5-98)(4)同步预置数法)同步预置数法同步预置数法适用于具有同步预置端的集成计数器。同步预置数法适用于具有同步预置端的集成计数器。例:用集成计数器例:用集成计数器74160和与非门组成的和与非门组成的7进制计数器。进制计数器。(5-99)例:例: 用用7416074160组成组成4848进制计数器。进制计数器。先将两芯片采用同步

45、级联方式连接成先将两芯片采用同步级联方式连接成100100进制计数器,进制计数器, 然后再用异步清零法组成了然后再用异步清零法组成了4848进制计数器。进制计数器。解解:因因为为N4848,而而7416074160为为模模1010计计数数器器,所所以以要要用用两片两片7416074160构成此计数器。构成此计数器。如何用置数端如何用置数端LD设计?设计?74161?(5-100)3 3组成分频器组成分频器前前面面提提到到,模模N计计数数器器进进位位输输出出端端输输出出脉脉冲冲的的频频率率是是输输入入脉脉冲冲频频率的率的1/1/N,因此可用模,因此可用模N计数器组成计数器组成N分频器。分频器。解

46、解: 因因为为32768=232768=21515,经经1515级级二二分分频频,就就可可获获得得频频率率为为1 1Hz的的脉脉冲冲信信号。因此将四片号。因此将四片7416174161级联,从高位片(级联,从高位片(4 4)的)的Q2 2输出即可。输出即可。例例: : 某某石石英英晶晶体体振振荡荡器器输输出出脉脉冲冲信信号号的的频频率率为为3276832768Hz,用用7416174161组成分频器,将其分频为频率为组成分频器,将其分频为频率为1 1Hz的脉冲信号。的脉冲信号。(5-101)用中规模集成电路构成的顺序脉冲发生器用中规模集成电路构成的顺序脉冲发生器( a)电路图电路图(b)电压波

47、形图电压波形图4 4脉冲发生器脉冲发生器(5-102)(5-103) 3.4 寄存器寄存器 1 数码寄存器数码寄存器Q3Q2Q1Q0&QQDQQDQQDQQDA0A1A2A3CLR取数取数脉冲脉冲接收接收脉冲脉冲( CP ) 寄存器是计算机的主要部件之一,寄存器是计算机的主要部件之一,它用来暂时存放数据或指令。它用来暂时存放数据或指令。四位数码寄存器四位数码寄存器(5-104)2 移位寄存器移位寄存器 所谓所谓“移位移位”,就是将寄存器所存各,就是将寄存器所存各位位 数据,在每个移位脉冲的作用下,向左数据,在每个移位脉冲的作用下,向左或向右移动一位。根据移位方向,常把它或向右移动一位。根据移位

48、方向,常把它分成分成左移寄存器左移寄存器、右移寄存器右移寄存器 和和 双向移双向移位寄存器位寄存器三种:三种:寄存器寄存器左移左移(a)寄存器寄存器右移右移(b)寄存器寄存器双向双向移位移位(c)(5-105) 根据移位数根据移位数据的输入输据的输入输出方式,又可出方式,又可将它分为将它分为串串行行输输入入串串行输行输出出、串串行输行输入入并并行输行输出出、并并行输行输入入串串行输行输出出和和并并行行输输入入并并行输行输出出四种电路结四种电路结构:构:FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF串入串出串入串出串入并出串入并出并入串出并入串出并入并出并入并出(5-106)Q

49、Q DQQ DQQ DQQ D&A0A1A2A3SDRDCLRLOAD移位移位脉冲脉冲CP0串行串行输出输出数数 据据 预预 置置 3210存数存数脉冲脉冲清零清零脉冲脉冲四位串入四位串入 - 串出的左移寄存器串出的左移寄存器初始状态:初始状态: 设设A3A2A1A0 1011 在存数脉冲作用下,也有在存数脉冲作用下,也有 Q3Q2Q1Q0 1011 。D0 0 0D1 Q Q0 0D2 Q Q1 1D3 Q Q2 2QQ DQQ DQQ DQQ D移位移位脉冲脉冲CP0串行串行输出输出3210 下面将下面将重点讨论重点讨论 兰颜色的兰颜色的 那部分那部分电电路路的工作的工作原理。原理。(5-

50、107)D0 0 0D1 Q Q0 0D2 Q Q1 1D3 Q Q2 2QQ DQQ DQQ DQQ D移位移位脉冲脉冲CP0串行串行输出输出32101 0 1 11 0 1 10 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 Q3Q2Q1Q0D3D2D1D0设初态设初态 Q3Q2Q1Q0 10

51、11(5-108)QQ DQQ DQQ DQQ D移位移位脉冲脉冲CP0串行串行输出输出3210用波形图表示如下:用波形图表示如下:1 0 1 11 0 1 10 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 Q3Q2Q1Q0D3D2D1D0设初态设初态Q3Q2Q1Q0 1011Q3Q2Q1Q0C

52、PCP1 11 10 01 10 00 01 11 10 00 01 11 10 00 00 01 10 00 00 00 00 00 00 00 0(5-109)QQ DQQ DQQ DQQ D移位移位脉冲脉冲CP0串行串行输出输出3210四位串入四位串入 - 串出的串出的左移左移寄存器:寄存器:D0 0 0D1 Q Q0 0D2 Q Q1 1D3 Q Q2 2QDQQ3DQDQD移位移位脉冲脉冲CP0串行串行输出输出Q1Q2Q0四位串入四位串入 - 串出的串出的右移右移寄存器:寄存器:D1 Q Q2 2D2 Q Q3 3D3 0 0D0 Q Q1 1(5-110) 四位串入四位串入 - 串

53、出串出的的左移左移寄存器:寄存器:D0 L LD1 Q Q0 0D2 Q Q1 1D3 Q Q2 2 四位串入四位串入 - 串出串出的的右移右移寄存器:寄存器:D1 Q Q2 2D2 Q Q3 3D3 R RD0 Q Q1 1 双向移位双向移位寄存器的寄存器的构成:构成: 只要设置一个控制端只要设置一个控制端S S,当,当S S0 0 时左移;而时左移;而当当S S1 1时右移即可。时右移即可。 “L L”即即需左移的需左移的输入数据输入数据 “R R”即即需右移的需右移的输入数据输入数据D0 = SL SQ1 D2 = SQ1 SQ3 D3 = SQ2 SR D1 = SQ0 SQ2 集成组

54、件集成组件 电路电路74LS194就是这样的就是这样的多功能移位寄存器。多功能移位寄存器。 (5-111)VCCQAQBQCQDS1S0CP16151413121110913456782QAQBQCQDCP S1S0CLRLDCBARABCDRLCLRGND74LS194右移右移串行串行输入输入左移左移串行串行输入输入并行输入并行输入实验芯片实验芯片(5-112)011110 00 11 01 1直接清零直接清零保保 持持右移右移(从从QA向右移动向右移动)左移左移(从从QD向左移动向左移动)并入并入 CLRCPS1 S0功功 能能(5-113)74194的功能表:的功能表:(5-114)移位

55、寄存器构成的移位型计数器移位寄存器构成的移位型计数器 1. 环形计数器环形计数器 环形计数器的特点:环形计数器的特点: 电路简单,电路简单,N位移位寄存器可以计位移位寄存器可以计N个数,实现模个数,实现模N计数器。状态为计数器。状态为1的输出的输出端的序号等于计数脉冲的个数,通常不需要译码电路。端的序号等于计数脉冲的个数,通常不需要译码电路。(5-115)2扭环形计数器扭环形计数器为了增加有效计数状态,扩大计数器的模,可用扭环形计数器。为了增加有效计数状态,扩大计数器的模,可用扭环形计数器。一一般般来来说说,N位位移移位位寄寄存存器器可可以以组组成成模模2N的的扭扭环环形形计计数数器器,只只需

56、需将将末级输出反相后,接到串行输入端。末级输出反相后,接到串行输入端。(5-116)3 寄存器应用举例寄存器应用举例例:数据传送方式变换电路例:数据传送方式变换电路D6D5D4D3D2D1D0并并行行输输入入串行输出串行输出数数据据传传送送方方式式变变换换电电路路1.实现方法实现方法: (1). 因为有因为有7位并行输入,位并行输入,故需使用两片故需使用两片74LS194;(2). 用最高位用最高位QD2作为它作为它的串行输出端。的串行输出端。(5-117)2.具体电路具体电路:&G1S0S1CP1QA1QB1QC1QD1S0S1CP2QA2QB2QC2QD2R1R2A1B1C1D1A2B2C

57、2D2D0D1D2D3D4D5D6+5V+5VCP启动启动脉冲脉冲移位移位脉冲脉冲&G2串行输出串行输出并并 行行 输输 入入74LS194 (1)74LS194 (2)011110 00 11 01 1直接清零直接清零保保 持持右移右移(从从QA向右移动向右移动)左移左移(从从QD向左移动向左移动)并入并入 CLRCPS1 S0功功 能能(5-118)寄存器各输出端状态寄存器各输出端状态QA1QB1QC1QD1QA2QB2QC2 QD2寄存器工作方式寄存器工作方式0 D0 D1 D2 D3 D4 D5 D6 1 0 D0 D1 D2 D3 D4 D5 1 1 0 D0 D1 D2 D3 D4 1 1 1 0 D0 D1 D2 D3 1 1 1 1 0 D0 D1 D2 1 1 1 1 1 0 D0 D1 1 1 1 1 1 1 0 D0 CP并行输入并行输入 ( S1S0=11)并行输入并行输入 ( S1S0=11)右移右移 ( S1S0=01)右移右移 ( S1S0=01)右移右移 ( S1S0=01)右移右移 ( S1S0=01)右移右移 ( S1S0=01)3.工作效果工作效果:提醒:在电路中,提醒:在电路中,“右移输入右移输入”端接端接 5V。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号