电工电子基础实验A第1次课第8周数字电路实验概述课件

上传人:m**** 文档编号:569142813 上传时间:2024-07-27 格式:PPT 页数:39 大小:604KB
返回 下载 相关 举报
电工电子基础实验A第1次课第8周数字电路实验概述课件_第1页
第1页 / 共39页
电工电子基础实验A第1次课第8周数字电路实验概述课件_第2页
第2页 / 共39页
电工电子基础实验A第1次课第8周数字电路实验概述课件_第3页
第3页 / 共39页
电工电子基础实验A第1次课第8周数字电路实验概述课件_第4页
第4页 / 共39页
电工电子基础实验A第1次课第8周数字电路实验概述课件_第5页
第5页 / 共39页
点击查看更多>>
资源描述

《电工电子基础实验A第1次课第8周数字电路实验概述课件》由会员分享,可在线阅读,更多相关《电工电子基础实验A第1次课第8周数字电路实验概述课件(39页珍藏版)》请在金锄头文库上搜索。

1、电工电子基础实验电工电子基础实验A A第一次课第一次课数字单元电路实验基础知识数字单元电路实验基础知识电工电子基础实验A第1次课第8周数字电路实验概述课件数字单元电路实验基础知识数字单元电路实验基础知识一、实验箱数电实验部分一、实验箱数电实验部分电工电子基础实验A第1次课第8周数字电路实验概述课件实实 验验 箱箱 电电 源源单电源加单电源加“+12V+12V”,双电源加,双电源加“12V12V”以万用表测量值为准!以万用表测量值为准!电源电源输出输出接口接口电源电源开关开关如果电源指示灯灭,可能的原因有:如果电源指示灯灭,可能的原因有:A、外接电源错误;、外接电源错误;B、电源输出短路;、电源

2、输出短路;C、内部电源损坏。、内部电源损坏。左上角左上角左上角左上角电工电子基础实验A第1次课第8周数字电路实验概述课件实实 验验 箱箱 电电 源源标注标注“+5V”的插孔已经和电源的的插孔已经和电源的“+5V”连接。连接。标注标注“GND”和和“地地”的插孔已的插孔已经和电源的经和电源的“GND”连接。连接。右半部分布右半部分布右半部分布右半部分布电工电子基础实验A第1次课第8周数字电路实验概述课件实实 验验 箱箱 信信 号号 源源使用前使用前需短接需短接右下部右下部电工电子基础实验A第1次课第8周数字电路实验概述课件逻逻 辑辑 电电 平平 显显 示示逻辑电平逻辑电平显示显示:输入高电平:发

3、光二极管亮:输入高电平:发光二极管亮 输入低电平:发光二极管灭。输入低电平:发光二极管灭。不能用于测量电路中电平的高低!不能用于测量电路中电平的高低!需要测量时请用万用表或示波器。需要测量时请用万用表或示波器。右中部右中部电工电子基础实验A第1次课第8周数字电路实验概述课件数数 码码 管管 显显 示示4位动态显示位动态显示:数据信号:数据信号“DCBA”高电平有效,高电平有效, 数码管从左到右依次为数码管从左到右依次为W1W4 , 低电平有效。低电平有效。使用前使用前需短接需短接中上部中上部中上部中上部1位静态显示位静态显示:数据端高电平有效,:数据端高电平有效, 公共端已连接。公共端已连接。

4、电工电子基础实验A第1次课第8周数字电路实验概述课件点点 阵阵 显显 示示57点阵显示:点阵显示:L1L5,H1H7输入高电平有效。输入高电平有效。使用前使用前需短接需短接右上角右上角右上角右上角电工电子基础实验A第1次课第8周数字电路实验概述课件数数 字字 电电 路路 接接 线线 区区数字集成电路接线区提供:数字集成电路接线区提供:40脚锁紧座脚锁紧座1个,个,20脚脚DIP插座插座1个,个,16脚脚DIP插座插座4个,个,14脚脚DIP插座插座3个。个。“+5V”和和“GND”之间接有之间接有0.1uF电容。电容。左中部左中部左中部左中部右中部右中部右中部右中部电工电子基础实验A第1次课第

5、8周数字电路实验概述课件外接仪器接线柱外接仪器接线柱两侧两侧两侧两侧连接外部仪器:连接外部仪器: 信号发生器信号发生器 示波器示波器 毫伏表毫伏表 等等电工电子基础实验A第1次课第8周数字电路实验概述课件实验箱使用注意事项实验箱使用注意事项(1)外部)外部12V直流电源接入实验箱时,直流电源接入实验箱时,必须检查电压值和极性无误。实验中必须检查电压值和极性无误。实验中暂不用电源时,只需将实验箱上的暂不用电源时,只需将实验箱上的“电源开关电源开关”关断,不必关断外部的直关断,不必关断外部的直流电源。流电源。(2)合上)合上“电源开关电源开关”后应检查电后应检查电源指标灯是否点亮,如果使用了负电源

6、指标灯是否点亮,如果使用了负电源则还应检查负电源的指标灯,当电源则还应检查负电源的指标灯,当电源指示灯不亮时,应查明原因。源指示灯不亮时,应查明原因。电工电子基础实验A第1次课第8周数字电路实验概述课件(3)将集成电路插入插座时,必须将)将集成电路插入插座时,必须将将集成电路的将集成电路的缺口朝左缺口朝左且管脚与插座且管脚与插座对准,如果管脚出现歪斜则应先将管对准,如果管脚出现歪斜则应先将管脚用镊子校正,然后再插入插座。否脚用镊子校正,然后再插入插座。否则,将造成集成电路管脚与接线插座则,将造成集成电路管脚与接线插座旁的管脚号标注不一致或遗漏管脚。旁的管脚号标注不一致或遗漏管脚。(4)起拔集成

7、电路时,应该用起子或)起拔集成电路时,应该用起子或镊子从集成器件与插座之间插入,将镊子从集成器件与插座之间插入,将器件轻轻地并保持器件轻轻地并保持平衡撬出平衡撬出。否则,。否则,极易将集成电路的管脚弄弯或损坏。极易将集成电路的管脚弄弯或损坏。实验箱使用注意事项实验箱使用注意事项电工电子基础实验A第1次课第8周数字电路实验概述课件数字单元电路实验基础知识数字单元电路实验基础知识二、二、TTL集成电路使用常识集成电路使用常识(一)实验室使用的(一)实验室使用的TTL集成电路集成电路 TTL:晶体管晶体管逻辑电路:晶体管晶体管逻辑电路 74LS 或或 74HC l74:民品:民品 54:军品:军品

8、l 数字序号数字序号lLS:低功耗肖特基:低功耗肖特基TTL,静态功耗大,扇出能,静态功耗大,扇出能力较强。力较强。lHC:高速:高速CMOS系列,静态功耗低,扇出能系列,静态功耗低,扇出能力较弱。力较弱。教材教材电工电子基础实验电工电子基础实验P146P147有有详细介绍详细介绍电工电子基础实验A第1次课第8周数字电路实验概述课件(二)(二)TTL数字集成电路使用规则数字集成电路使用规则 1、管脚、管脚常用常用TTL数字集成电路的管脚排列可查数字集成电路的管脚排列可查电电工电子实验手册工电子实验手册P84P94,并附有功能表。,并附有功能表。使用时请注意:使用时请注意:A管脚图中半圆形符号在

9、左侧,必须将集成电路管脚图中半圆形符号在左侧,必须将集成电路背部(印有字符)的缺口也朝左时管脚图中的管背部(印有字符)的缺口也朝左时管脚图中的管脚编号才与集成电路实际管脚编号一致,否则,脚编号才与集成电路实际管脚编号一致,否则,将造成两种管脚号标注不一致。将造成两种管脚号标注不一致。16 15 14 13 12 11 10 91 2 3 4 5 6 7 8 74LS161电工电子基础实验A第1次课第8周数字电路实验概述课件B通常集成电路背部(印有字符)通常集成电路背部(印有字符)的缺口朝左时,左下脚为的缺口朝左时,左下脚为1脚、左脚、左上脚为最大脚号(也是接电源的上脚为最大脚号(也是接电源的V

10、cc脚)、右下脚为接电源脚)、右下脚为接电源GND脚。脚。在大多数电路原理图中不画出在大多数电路原理图中不画出Vcc脚和脚和GND脚,实际使用时,必须在脚,实际使用时,必须在左上脚与右下脚间接入左上脚与右下脚间接入5V直流电源,直流电源,且不可接错极性。且不可接错极性。TTL数字集成电路使用规则数字集成电路使用规则电工电子基础实验A第1次课第8周数字电路实验概述课件2、 TTL工作电源工作电源TTL器件对电源电压要求很严,电源器件对电源电压要求很严,电源电压电压(Vcc与与GND之间之间)为为+50.5 V,超,超过这个范围将损坏器件或功能不正常。过这个范围将损坏器件或功能不正常。TTL电路的

11、静态电流相当可观,应使电路的静态电流相当可观,应使用稳定的、内阻小的稳压电源,并要用稳定的、内阻小的稳压电源,并要求有良好的接地。求有良好的接地。TTL器件的浪涌电流流进电源,其电器件的浪涌电流流进电源,其电源内阻会产生电压尖峰,这在电路系源内阻会产生电压尖峰,这在电路系统中可以产生较大的干扰。因此有必统中可以产生较大的干扰。因此有必要在电源接入端接几十要在电源接入端接几十F的电容作低的电容作低频滤波,每隔频滤波,每隔510个集成电路在电源个集成电路在电源和地之间加一个和地之间加一个0.01F0.1F的电容的电容作高频滤波。作高频滤波。 电工电子基础实验A第1次课第8周数字电路实验概述课件3、

12、管脚连接中须注意的问题、管脚连接中须注意的问题输出脚输出脚输出端决输出端决不允许直接接不允许直接接+5V电源或接地电源或接地。除集。除集电极开路输出和三态输出电路外,输出端电极开路输出和三态输出电路外,输出端不不允许并联使用允许并联使用,否则引起逻辑混乱,甚至损,否则引起逻辑混乱,甚至损坏器件。坏器件。输出高电平输出高电平VOH2.5V,输出低电平,输出低电平VOLVIH2.5V,输入低电平输入低电平0VVIL0.8V所有输入端应按逻辑要求接入电路,所有输入端应按逻辑要求接入电路,不要不要悬空处理悬空处理,否则易受干扰,破坏逻辑功能。,否则易受干扰,破坏逻辑功能。与门和与非门的多余输入端应接高

13、电平或与门和与非门的多余输入端应接高电平或并联使用(当前级驱动能力较强)。或门、并联使用(当前级驱动能力较强)。或门、或非门,多余输入端应接低电平或接地。或非门,多余输入端应接低电平或接地。控制脚控制脚(置(置“0”、置、置“1”、使能等)、使能等)控制脚控制脚不能悬空不能悬空不用,都应根据功能要求不用,都应根据功能要求连接相应电平连接相应电平/管脚管脚/信号。信号。管脚连接中须注意的问题管脚连接中须注意的问题电工电子基础实验A第1次课第8周数字电路实验概述课件(三)数字电路调测(三)数字电路调测 教材教材电工电子基础实验电工电子基础实验 P153P162有有详细介绍详细介绍1、静态测试、静态

14、测试静态测试就是静态测试就是用人工的方法逐步改变用人工的方法逐步改变输入变量,同时测试相应的输出输入变量,同时测试相应的输出。这。这种方法速度慢,一旦送入输入并保持种方法速度慢,一旦送入输入并保持变量后,被测电路在测试过程中不发变量后,被测电路在测试过程中不发生变化,故称其为静态测试法。这种生变化,故称其为静态测试法。这种测试方法适用于验证中、小规模集成测试方法适用于验证中、小规模集成电路的好坏和测试输出输入变量不多、电路的好坏和测试输出输入变量不多、状态不多的逻辑电路。状态不多的逻辑电路。电工电子基础实验A第1次课第8周数字电路实验概述课件(1)组合电路的静态测试)组合电路的静态测试用静态法

15、测试组合电路时,实验箱的用静态法测试组合电路时,实验箱的K1K8提供所需输入逻辑电平提供所需输入逻辑电平,分别由,分别由K1K8自复键控制,每按一次键,输出自复键控制,每按一次键,输出电平在电平在“1”或或“0”之间转换一次。之间转换一次。电路的电路的输出信号送实验箱上输出信号送实验箱上L1L8,与它们对应连接的与它们对应连接的8个发光二极管(被个发光二极管(被测输出信号为高电平)或不亮(被测输测输出信号为高电平)或不亮(被测输出信号为出信号为“0”或或“高阻高阻”)。)。按照电路的真值表或功能表依次改变输按照电路的真值表或功能表依次改变输入逻辑电平并逐项核实输出状况即可完入逻辑电平并逐项核实

16、输出状况即可完成静态测试。成静态测试。电工电子基础实验A第1次课第8周数字电路实验概述课件静态测试时输入信号是逐个改变的,静态测试时输入信号是逐个改变的,输入变化很慢,显示的输出信号是输输入变化很慢,显示的输出信号是输入电平稳定后的情况。与实际工作时入电平稳定后的情况。与实际工作时的输入变化速度不同。所以,静态测的输入变化速度不同。所以,静态测试的条件与实际工作的条件不同,测试的条件与实际工作的条件不同,测试结果与实际情况也可能不同。尤其试结果与实际情况也可能不同。尤其是当输入信号变化很快时,如果电路是当输入信号变化很快时,如果电路因器件延迟而产生了因器件延迟而产生了“竞争竞争”或或“冒冒险险

17、”现象,由于现象,由于“竞争竞争”或或“冒险冒险”产生的产生的“毛刺毛刺”是非常窄的脉冲,用是非常窄的脉冲,用发光二极管是无法显示出来的。发光二极管是无法显示出来的。静态静态测试不能测出电路的测试不能测出电路的“竞争竞争”或或“冒冒险险” 。组合电路的静态测试组合电路的静态测试电工电子基础实验A第1次课第8周数字电路实验概述课件(2)时序电路的静态测试法)时序电路的静态测试法时序电路的静态测试法与组合电路测试时序电路的静态测试法与组合电路测试相似。但是,时序电路的输入信号对电相似。但是,时序电路的输入信号对电路的影响不但有逻辑电平的高低,且很路的影响不但有逻辑电平的高低,且很多时序电路是靠输入

18、信号的前沿或后沿多时序电路是靠输入信号的前沿或后沿来触发的,所以,测试时序电路时,来触发的,所以,测试时序电路时,对对边沿有要求的输入端必须输入一个脉冲边沿有要求的输入端必须输入一个脉冲信号信号,以便得到需要的前沿或后沿。实,以便得到需要的前沿或后沿。实验箱上提供了单脉冲信号,验箱上提供了单脉冲信号,K9为单脉冲为单脉冲输出信号,静态输出为输出信号,静态输出为“0”,每按一,每按一次次K9键。对应插孔输出一个脉宽为键。对应插孔输出一个脉宽为50ms的单个矩形脉冲。一般将此单脉冲的单个矩形脉冲。一般将此单脉冲信号作为时序电路的信号作为时序电路的CP信号。信号。 电工电子基础实验A第1次课第8周数

19、字电路实验概述课件(3)静态测试注意事项)静态测试注意事项由于时序电路的输出状态较多,如一个由于时序电路的输出状态较多,如一个16位位计数器共有计数器共有21665536种状态值,若要一一测种状态值,若要一一测试显然是不可能的。遇到这种情况时,一般试显然是不可能的。遇到这种情况时,一般可将一个可将一个16位计数器分为两个位计数器分为两个8位计数器分别位计数器分别进行测试,每一个进行测试,每一个8位计数器的输出状态有位计数器的输出状态有28256个,两个计数器共有个,两个计数器共有512个,当两个计个,当两个计数器测试均正常时再将两个计数器合为一个,数器测试均正常时再将两个计数器合为一个,这时,

20、主要测试第这时,主要测试第8位计数满后向第位计数满后向第9位的进位的进位情况,如果进位正常,则可以认为整个计位情况,如果进位正常,则可以认为整个计数器正常。如果能在设计时就将电路状态进数器正常。如果能在设计时就将电路状态进行划分,则可以给测试带来很大的方便。行划分,则可以给测试带来很大的方便。设设计时将数字电路划分为若干模块,是数字电计时将数字电路划分为若干模块,是数字电路可测性设计的一个重要方法。路可测性设计的一个重要方法。电工电子基础实验A第1次课第8周数字电路实验概述课件2、动态测试、动态测试静态测试的测试效率较低,而且电路的静态测试的测试效率较低,而且电路的某些与动态特性相关的逻辑现象

21、某些与动态特性相关的逻辑现象(如如“冒冒险险”、“竞争竞争”)难以测出。动态测试可难以测出。动态测试可以弥补静态测试的不足。以弥补静态测试的不足。动态测试:测试用的输入信号是一个自动态测试:测试用的输入信号是一个自动产生并且不断变化的逻辑电平值,输动产生并且不断变化的逻辑电平值,输出信号也是一个不断变化的逻辑电平值,出信号也是一个不断变化的逻辑电平值,整个测试始终处于变动状态,故称这种整个测试始终处于变动状态,故称这种测试方法为动态测试。测试方法为动态测试。 电工电子基础实验A第1次课第8周数字电路实验概述课件(1)组合电路的动态测试法)组合电路的动态测试法组合电路动态测试法的思路是采用穷举组

22、合电路动态测试法的思路是采用穷举法。即由合适的信号源事先编辑好一组法。即由合适的信号源事先编辑好一组测试码,该组测试码涵盖了输入信号所测试码,该组测试码涵盖了输入信号所有状态组合。实验时,该信号源自动顺有状态组合。实验时,该信号源自动顺序输出该组测试码,同时用示波器观测序输出该组测试码,同时用示波器观测输入与输出波形的关系,两者的关系应输入与输出波形的关系,两者的关系应该符合真值表要求。该符合真值表要求。 电工电子基础实验A第1次课第8周数字电路实验概述课件(2)组合电路的动态测试注意事项)组合电路的动态测试注意事项示波器一般只有双踪,测试多个波形关系时示波器一般只有双踪,测试多个波形关系时有

23、一些特定的操作要求,一般需用逻辑分析有一些特定的操作要求,一般需用逻辑分析仪。仪。如果输出端如果输出端F的波形不正常,可以逐个写出的波形不正常,可以逐个写出信号传输路径中各个门电路输出端的真值表,信号传输路径中各个门电路输出端的真值表,用示波器测量各点波形并与真值表对照。如用示波器测量各点波形并与真值表对照。如果与真值表不符,即可判断出故障所在。果与真值表不符,即可判断出故障所在。由于示波器的屏幕较小,分辨率也有限,可由于示波器的屏幕较小,分辨率也有限,可显示的信号长度有限,如果输入信号数量较显示的信号长度有限,如果输入信号数量较多,信号序列较长多,信号序列较长(如如8个输入信号的测试序个输入

24、信号的测试序列长达列长达28256个个),而示波器上最多能显示,而示波器上最多能显示出几十个信号周期的长度,这种情况正是数出几十个信号周期的长度,这种情况正是数字电路的测试难点所在。因此,在测试长序字电路的测试难点所在。因此,在测试长序列信号时,一般要采用数字式存储示波器或列信号时,一般要采用数字式存储示波器或逻辑分析仪。逻辑分析仪。 电工电子基础实验A第1次课第8周数字电路实验概述课件(3)时序电路的动态测试)时序电路的动态测试时序电路动态测试一般要求提供一个时时序电路动态测试一般要求提供一个时钟信号钟信号CP , CP由实验箱上的连续脉冲由实验箱上的连续脉冲信号提供,用示波器观测各个被测点

25、的信号提供,用示波器观测各个被测点的波形。波形。有一些时序电路不但需要时钟信号,而有一些时序电路不但需要时钟信号,而且需要多种控制信号。例如,移位寄存且需要多种控制信号。例如,移位寄存器等电路除需要时钟外还需要置数控制、器等电路除需要时钟外还需要置数控制、位移控制、加减计数方式控制等多种输位移控制、加减计数方式控制等多种输入信号,如果也用穷举法产生所有控制入信号,如果也用穷举法产生所有控制功能端的组合测试信号,则会使测试码功能端的组合测试信号,则会使测试码非常长,以致用示波器无法观测一个完非常长,以致用示波器无法观测一个完整的测试序列信号。整的测试序列信号。 电工电子基础实验A第1次课第8周数

26、字电路实验概述课件(4)时序电路动态测试的注意事项)时序电路动态测试的注意事项当时序电路的数据控制等外部输入信号当时序电路的数据控制等外部输入信号较多时,用穷举法提供动态测试信号比较多时,用穷举法提供动态测试信号比较困难。应采用静态与动态相结合的方较困难。应采用静态与动态相结合的方法,即用静态方式给出某些输入的数据法,即用静态方式给出某些输入的数据和控制信号和控制信号(如置数、位移控制等如置数、位移控制等),在,在给定的各种控制状态进行动态测试。给定的各种控制状态进行动态测试。当需要用示波器同时显示两个波形时,当需要用示波器同时显示两个波形时,应正确选择示波器的应正确选择示波器的“触发信源触发

27、信源”,应,应选用周期最长的(边沿最少)一路信号选用周期最长的(边沿最少)一路信号作为内触发信源作为内触发信源,并调节电平旋钮。通,并调节电平旋钮。通常这样就可正确稳定地显示出两个被测常这样就可正确稳定地显示出两个被测波形。波形。电工电子基础实验A第1次课第8周数字电路实验概述课件(四)数电实验常见故障的分析和排除(四)数电实验常见故障的分析和排除 这里所说的常见故障不包括因设计不当这里所说的常见故障不包括因设计不当产生的逻辑功能错误产生的逻辑功能错误(因设计不当产生因设计不当产生的逻辑功能错误应修改设计方案的逻辑功能错误应修改设计方案)。一般数字电路常见故障有:一般数字电路常见故障有:l断路

28、故障断路故障l短路故障短路故障l集成电路芯片故障。集成电路芯片故障。 电工电子基础实验A第1次课第8周数字电路实验概述课件1、断路故障、断路故障断路故障是指连线断路故障是指连线(包括信号线、传输线、包括信号线、传输线、测试线、焊点、连接点测试线、焊点、连接点)断路产生的故障。断路产生的故障。这类故障产生的现象比较明显,一般显这类故障产生的现象比较明显,一般显现出相关点无规律的电平,例如:芯片现出相关点无规律的电平,例如:芯片电源连接端无电压;信号输入端无脉冲电源连接端无电压;信号输入端无脉冲电压等等。电压等等。检查这类故障的方法是用检查这类故障的方法是用“0”、“1”判断法判断法,如设线路通为

29、,如设线路通为“1”,断为,断为“0”。操作时可用万用表、逻辑笔或者。操作时可用万用表、逻辑笔或者示波器示波器(配合测试信号配合测试信号)从源头沿一定路径从源头沿一定路径逐段查寻逐段查寻,不难发现故障点。,不难发现故障点。 电工电子基础实验A第1次课第8周数字电路实验概述课件2、短路故障、短路故障短路故障是指连线或连线点短路造成电短路故障是指连线或连线点短路造成电路出现异常的现象。路出现异常的现象。例如:电源正端和地短路会造成电源电例如:电源正端和地短路会造成电源电压为零;局部逻辑线混连,会出现逻辑压为零;局部逻辑线混连,会出现逻辑混乱错误。有的短路故障比较隐蔽,需混乱错误。有的短路故障比较隐

30、蔽,需要耐心仔细分析和耐心寻找才能发现。要耐心仔细分析和耐心寻找才能发现。最常用查找短路故障的方法是:将最常用查找短路故障的方法是:将电路电路断电后用万用表的欧姆档测电阻值断电后用万用表的欧姆档测电阻值,若,若发现电路中无直接电气连接的两节点之发现电路中无直接电气连接的两节点之间电阻值为零(或极小),可判为短路。间电阻值为零(或极小),可判为短路。电工电子基础实验A第1次课第8周数字电路实验概述课件3、集成电路芯片故障、集成电路芯片故障集成电路芯片故障是指集成电路芯片的功能集成电路芯片故障是指集成电路芯片的功能不正常。这类故障的特点或是:不正常。这类故障的特点或是:l集成电路烫手;集成电路烫手

31、;l集成电路电源端的电压过低;集成电路电源端的电压过低;l芯片的输入端有规定的逻辑电平而输出没有正芯片的输入端有规定的逻辑电平而输出没有正确的逻辑电平。确的逻辑电平。通过用手触摸,或是测量电源管脚的直流电通过用手触摸,或是测量电源管脚的直流电压,或是输入逻辑信号进行测试就可发现压,或是输入逻辑信号进行测试就可发现故障点或可疑点。之后,更换可疑集成电故障点或可疑点。之后,更换可疑集成电路芯片,再测电路进行判断。路芯片,再测电路进行判断。由于芯片的管脚折断或折弯而未能插入实验由于芯片的管脚折断或折弯而未能插入实验板引起的故障往往体现在芯片的逻辑功能板引起的故障往往体现在芯片的逻辑功能不能实现,这种

32、故障需要进行仔细查找才不能实现,这种故障需要进行仔细查找才能找到。能找到。电工电子基础实验A第1次课第8周数字电路实验概述课件当怀疑芯片坏了时,对于当怀疑芯片坏了时,对于SSI或功能简单的或功能简单的MSI,可以通过测试它的逻辑功能,迅速做,可以通过测试它的逻辑功能,迅速做出判断。检查时,一般需要将被查对象出判断。检查时,一般需要将被查对象从电从电路中分离出来路中分离出来,即将其输入、输出同其他电,即将其输入、输出同其他电路断开,再根据其逻辑功能进行检查。路断开,再根据其逻辑功能进行检查。l例如,检查一个多输入例如,检查一个多输入“与非与非”门,当其输门,当其输入全入全“1” 时,输出应为时,

33、输出应为“0”;如果有一个;如果有一个输入端为输入端为“0”,则输出为,则输出为“1”。通过检查。通过检查如果不符合上述情况,就说明该门已经损坏。如果不符合上述情况,就说明该门已经损坏。l又例如,在检查又例如,在检查8选选1数据选择器时数据选择器时(使能端确使能端确已接好已接好),使地址输入分别为,使地址输入分别为000111,看输,看输出是否分别与出是否分别与D0D7相同,即可做出判断。相同,即可做出判断。对于复杂的对于复杂的MSI或或LSI,可以用专用的集成电,可以用专用的集成电路测试仪来进行测试。路测试仪来进行测试。集成电路芯片故障集成电路芯片故障电工电子基础实验A第1次课第8周数字电路

34、实验概述课件4、检查电路的一般方法、检查电路的一般方法对于组合电路,主要检查输入、输出信对于组合电路,主要检查输入、输出信号之间的号之间的逻辑关系逻辑关系是否能得到实现。是否能得到实现。对于时序电路,应首先检查对于时序电路,应首先检查时钟信号时钟信号是是否加上,以及是否满足电路对时钟的要否加上,以及是否满足电路对时钟的要求(时钟脉冲频率、高低电平、上升下求(时钟脉冲频率、高低电平、上升下降时间等);再查降时间等);再查使能端使能端、清零端清零端、置置位端位端(不能悬空,避免引入干扰不能悬空,避免引入干扰)是否按要是否按要求接好。然后按照电路的逻辑功能,分求接好。然后按照电路的逻辑功能,分别检查

35、各级电路的别检查各级电路的输入、输出关系输入、输出关系引脚,引脚,用示波器(置用示波器(置DC偶合)看电压是否正常。偶合)看电压是否正常。电工电子基础实验A第1次课第8周数字电路实验概述课件在检查电路时,应按照电路的逻辑功能进在检查电路时,应按照电路的逻辑功能进行行推理分析推理分析,一步步缩小故障范围,最后,一步步缩小故障范围,最后找出故障加以排除。这样查错的方法,比找出故障加以排除。这样查错的方法,比盲目地反复检查布线要迅速、可靠得多。盲目地反复检查布线要迅速、可靠得多。对于有故障的多级电路,为了减少调测工对于有故障的多级电路,为了减少调测工作量,可先把可疑的范围分作两个区,通作量,可先把可

36、疑的范围分作两个区,通过检测,判定前后两个部分究竟哪一部分过检测,判定前后两个部分究竟哪一部分有故障;然后再将有故障的部分继续进行有故障;然后再将有故障的部分继续进行对分检测对分检测,直到找出故障为止。,直到找出故障为止。检查电路的一般方法检查电路的一般方法电工电子基础实验A第1次课第8周数字电路实验概述课件对于对于CMOS电路要预防锁定效应。电路要预防锁定效应。CMOS电路特有一种失效模式电路特有一种失效模式锁定锁定效应,也称作可控硅效应,这是器件的效应,也称作可控硅效应,这是器件的固有的故障现象。其原因是由于器件内固有的故障现象。其原因是由于器件内部存在正反馈。消除了正反馈形成条件,部存在

37、正反馈。消除了正反馈形成条件,即可预防锁定效应。正反馈形成条件可即可预防锁定效应。正反馈形成条件可能是下述情况之一:能是下述情况之一:l输入信号电压高于输入信号电压高于VDD或者低于或者低于VSS;l用手触摸输入引脚;用手触摸输入引脚;l加直流信号和脉冲信号的顺序不正确。加直流信号和脉冲信号的顺序不正确。 断开电源,稍后重加电源并纠正错误的断开电源,稍后重加电源并纠正错误的操作方法可消除锁定效应操作方法可消除锁定效应检查电路的一般方法检查电路的一般方法电工电子基础实验A第1次课第8周数字电路实验概述课件在实验中完全不出故障是不可能的。在实验中完全不出故障是不可能的。要求我们做到:要求我们做到:

38、实验前准备充分,实验时操作细心,实验前准备充分,实验时操作细心,将故障减少到最低限度。将故障减少到最低限度。出现故障时应开动脑筋,自觉应用出现故障时应开动脑筋,自觉应用所学知识,仔细分析,认真检查,所学知识,仔细分析,认真检查,积累经验,不断提高解决问题的能积累经验,不断提高解决问题的能力。力。检查电路的一般方法检查电路的一般方法电工电子基础实验A第1次课第8周数字电路实验概述课件组合逻辑电路实验提示一、一、P1711 测试测试74LS00与非门的逻辑功能与非门的逻辑功能74LS00是四是四2输入与非门输入与非门(手册手册P84图图4-25管脚图管脚图)测试时测试时Vcc与与GND间接通间接通

39、5V电源(电源(14脚接脚接+5V,7脚接地),脚接地),作静态测试:作静态测试:4个与非门的个与非门的8个输入端分别依次接实验箱个输入端分别依次接实验箱K1K8,4个输出端分别依次接实验箱个输出端分别依次接实验箱CZ21CZ28中的中的4个。个。按下表检测其逻辑功能:按下表检测其逻辑功能:输入输入输出输出输入输入输出输出输入输入输出输出输入输入输出输出1脚脚2脚脚3脚脚4脚脚5脚脚6脚脚9脚脚10脚脚8脚脚12脚脚13脚脚11脚脚00000000010101011010101011111111电工电子基础实验A第1次课第8周数字电路实验概述课件谢谢 谢!谢!投影到此结束电工电子基础实验A第1次课第8周数字电路实验概述课件

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 医学/心理学 > 基础医学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号