杨志忠数电(第3版)10-可编程逻辑器件

上传人:博****1 文档编号:568742139 上传时间:2024-07-26 格式:PPT 页数:36 大小:859.01KB
返回 下载 相关 举报
杨志忠数电(第3版)10-可编程逻辑器件_第1页
第1页 / 共36页
杨志忠数电(第3版)10-可编程逻辑器件_第2页
第2页 / 共36页
杨志忠数电(第3版)10-可编程逻辑器件_第3页
第3页 / 共36页
杨志忠数电(第3版)10-可编程逻辑器件_第4页
第4页 / 共36页
杨志忠数电(第3版)10-可编程逻辑器件_第5页
第5页 / 共36页
点击查看更多>>
资源描述

《杨志忠数电(第3版)10-可编程逻辑器件》由会员分享,可在线阅读,更多相关《杨志忠数电(第3版)10-可编程逻辑器件(36页珍藏版)》请在金锄头文库上搜索。

1、EXIT 可编程逻辑器件及应用可编程逻辑器件及应用第第 10 章可编程逻辑器件章可编程逻辑器件 可编程逻辑器件简介可编程逻辑器件简介可编程逻辑器件的应用可编程逻辑器件的应用本章小结本章小结EXIT 可编程逻辑器件及应用可编程逻辑器件及应用主要要求:主要要求: 了解可编程逻辑器件的基本结构与类型。了解可编程逻辑器件的基本结构与类型。了解了解 GAL16V8 和和 ispLSI1016 的结构与用法。的结构与用法。10.1可编程逻辑器件简介可编程逻辑器件简介EXIT 可编程逻辑器件及应用可编程逻辑器件及应用一、可编程逻辑器件的概念与特点一、可编程逻辑器件的概念与特点 是由编程来确定其逻辑功能的器件

2、。是由编程来确定其逻辑功能的器件。Programmable Logical Device,简称简称 PLD 逻辑电路的设计和测试均可在计算机上实现,设逻辑电路的设计和测试均可在计算机上实现,设计成功的电路可方便地下载到计成功的电路可方便地下载到 PLD,因而研制周期短、因而研制周期短、 成本低、效率高,使产品能在极短时间内推出。成本低、效率高,使产品能在极短时间内推出。 用用 PLD 实现的电路容易被修改。这种修改通过对实现的电路容易被修改。这种修改通过对 PLD 重新编程实现,可以不影响其外围电路。因此,其重新编程实现,可以不影响其外围电路。因此,其产品的维护、更新都很方便。产品的维护、更新

3、都很方便。 PLD 使硬件也能象软件一使硬件也能象软件一样实现升级,因而被认为是硬件革命。样实现升级,因而被认为是硬件革命。 较复杂的数字系统能用较复杂的数字系统能用1 1片或数片片或数片 PLD 实现,因而,实现,因而,应用应用 PLD 生产的产品轻小可靠。此外,生产的产品轻小可靠。此外,PLD 还具有硬件还具有硬件加密功能。加密功能。 应用应用 PLD 设计电路时,需选择合适的软件工具。设计电路时,需选择合适的软件工具。 EXIT 可编程逻辑器件及应用可编程逻辑器件及应用二、可编程逻辑器件的基本结构二、可编程逻辑器件的基本结构PLD 的的 基基 本本 结结 构构 图图输输入入电电路路与与阵

4、阵列列输输出出电电路路或或阵阵列列输输入入项项乘乘积积项项或或项项输输入入输输出出二、可编程逻辑器件的基本结构二、可编程逻辑器件的基本结构输入缓冲电路用输入缓冲电路用以产生输入变量的原以产生输入变量的原变量和反变量,并提变量和反变量,并提供足够的驱动能力。供足够的驱动能力。 输入缓冲电路输入缓冲电路 ( (a) )一般画法一般画法 ( (b) )PLD 中的习惯画法中的习惯画法( (a) )( (b) )AAAAAAEXIT 可编程逻辑器件及应用可编程逻辑器件及应用由由多多个个多多输输入入与与门门组组成成,用用以以产产生生输输入入变变量量的各乘积项。的各乘积项。例例如如 CABCCABBAW7

5、 = ABCABCW0 =与阵列与阵列PLD 的的 基基 本本 结结 构构 图图输输入入电电路路与与阵阵列列输输出出电电路路或或阵阵列列输输入入项项乘乘积积项项或或项项输输入入输输出出二、可编程逻辑器件的基本结构二、可编程逻辑器件的基本结构EXIT 可编程逻辑器件及应用可编程逻辑器件及应用PLD 器件中连接的习惯画法器件中连接的习惯画法固定连接固定连接 可编程连接可编程连接 断开连接断开连接PLD 中与门和或门的习惯画法中与门和或门的习惯画法( (a) )( (b) )YCABCBAACBYYYCBA1EXIT 可编程逻辑器件及应用可编程逻辑器件及应用由多个多输由多个多输入与门组成,用入与门组

6、成,用以产生输入变量以产生输入变量的各乘积项。的各乘积项。PLD 的的 基基 本本 结结 构构 图图输输入入电电路路与与阵阵列列输输出出电电路路或或阵阵列列输输入入项项乘乘积积项项或或项项输输入入输输出出CABCCABBAW7 = ABCABCW0 =与与阵阵列列的的PLD 习惯画法习惯画法二、可编程逻辑器件的基本结构二、可编程逻辑器件的基本结构EXIT 可编程逻辑器件及应用可编程逻辑器件及应用由图可得由图可得 Y1 = ABC + ABC + ABC Y2 = ABC + ABC Y3 = ABC + ABC例例如如 ABCY3Y2Y1与与阵列阵列或阵列或阵列PLD 的的 基基 本本 结结

7、构构 图图输输入入电电路路与与阵阵列列输输出出电电路路或或阵阵列列输输入入项项乘乘积积项项或或项项输输入入输输出出由多个多输由多个多输入或门组成,用入或门组成,用以产生或项,即以产生或项,即将输入的某些乘将输入的某些乘积项相加。积项相加。二、可编程逻辑器件的基本结构二、可编程逻辑器件的基本结构EXIT 可编程逻辑器件及应用可编程逻辑器件及应用 由由 PLD 结构可知,从输出端可得到输入变结构可知,从输出端可得到输入变量的乘积项之和,因此可实现任何组合逻辑函数。量的乘积项之和,因此可实现任何组合逻辑函数。再配以触发器,就可实现时序逻辑函数。再配以触发器,就可实现时序逻辑函数。PLD 的的 基基

8、本本 结结 构构 图图输输入入电电路路与与阵阵列列输输出出电电路路或或阵阵列列输输入入项项乘乘积积项项或或项项输输入入输输出出PLD 的的输输出出回回路路因因器器件件的的不不同同而而有有所所不不同同,但但总体可分为固定输出和可组态输出两大类。总体可分为固定输出和可组态输出两大类。二、可编程逻辑器件的基本结构二、可编程逻辑器件的基本结构EXIT 可编程逻辑器件及应用可编程逻辑器件及应用 ( (一一) ) 按可编程部位分类按可编程部位分类类型类型与阵列与阵列 或阵列或阵列 输出电路输出电路PROM( (即可编程即可编程 ROM) )固固 定定可编程可编程固固 定定PLA( (即即 Programm

9、ableLogic Array,可编程逻辑阵列,可编程逻辑阵列) )可编程可编程 可编程可编程固定固定PAL( (即即 ProgrammableArray Logic,可编程阵列逻辑,可编程阵列逻辑) )可编程可编程固固 定定固固 定定GAL( (即即Genetic Array Logic,通用阵列逻辑通用阵列逻辑) )可编程可编程固固 定定可组态可组态 PROM、PAL 和和 GAL 只有一种阵列可编程,只有一种阵列可编程,称为半场可编程逻辑器件,称为半场可编程逻辑器件,PLA 的与阵列和或阵的与阵列和或阵列均可编程,列均可编程,称为全场可编程逻辑器件。称为全场可编程逻辑器件。三、可编程逻辑

10、器件的类型三、可编程逻辑器件的类型目前多用目前多用 GAL。因为因为 GAL 可重复编程、工作速度高、可重复编程、工作速度高、价格低、具有强大的编程工具和软件支撑,并且用价格低、具有强大的编程工具和软件支撑,并且用可编程的可编程的输出逻辑宏单元取代了固定输出电路,因而功能更强输出逻辑宏单元取代了固定输出电路,因而功能更强。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用通常简称通常简称HDPLD FPGA实现数据处理能力强;实现数据处理能力强; 阵列型阵列型 HDPLD 实现逻辑控制的能力强。实现逻辑控制的能力强。低密度低密度 PLD高密度高密度 PLD( (即即 High Density

11、PLD,简简 称称HDPLD) ) 阵列型阵列型 HDPLD 现场可编程门阵列现场可编程门阵列HDPLD 集成度集成度 1000门的门的PLD称为称为HDPLD ( (二二) ) 按集成密度分类按集成密度分类 Field Programmable Gate Array,简称简称 FPGA 。 PROM、PLA、PAL 和和 GAL 均属低密度均属低密度 PLD。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用ISP 器件由于密度和性能持续提高,价格持器件由于密度和性能持续提高,价格持续降低,开发工具不断完善,因此正得到越来越续降低,开发工具不断完善,因此正得到越来越广泛的应用广泛的应用。在系

12、统可编程逻辑器件在系统可编程逻辑器件普通普通 PLD普通普通 PLD 需要使用编程器进行编程,需要使用编程器进行编程,而而 ISP 器件不需要编程器。器件不需要编程器。 ( (三三) ) 按编程方式分类按编程方式分类即即 In - System Programmable PLD ( (简称简称 ispPLD) )EXIT 可编程逻辑器件及应用可编程逻辑器件及应用四、典型可编程逻辑器件简介四、典型可编程逻辑器件简介 采用采用 CMOS E2PROM 工艺,工艺,可电擦除、可重复编程。可电擦除、可重复编程。 ( (一一) ) GAL16V8 简介简介1. GAL16V8 引脚图引脚图VCCGAL1

13、6V8 I/OI/OI/OOEI/OI/OI/OI/OI/OCLKIIIIIIIIGND12345678910111220191817161514138 个输入端个输入端8 个个 I/O 端端1 个时钟输入端个时钟输入端1 个输出使能控制输入端个输出使能控制输入端EXIT 可编程逻辑器件及应用可编程逻辑器件及应用GAL16V8可编程与阵列可编程与阵列(64 32)1 CLK2 I3 I4 I5 I6 I7 I8 I9 II/O 19I/O 18I/O 17I/O 16I/O 15I/O 14I/O 13I/O 12OE 112. GAL16V8 逻辑图逻辑图输出逻辑宏单元输出逻辑宏单元( (即

14、即 Output Logic Macro- Cell,简称简称 OLMC) )与阵列与阵列 输入电路输入电路EXIT 可编程逻辑器件及应用可编程逻辑器件及应用可编程与阵列可编程与阵列(64 32)1 CLK2 I3 I4 I5 I6 I7 I8 I9 II/O 19I/O 18I/O 17I/O 16I/O 15I/O 14I/O 13I/O 12OE 112. GAL16V8 逻辑图逻辑图 OLMC 中含有或门、中含有或门、D 触发器和多路选择器等,触发器和多路选择器等,通过对通过对 OLMC 编程可得编程可得到组合电路输出、时序电到组合电路输出、时序电路输出、双向路输出、双向 I/O 端等

15、多端等多种工作组态。种工作组态。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用可编程与阵列可编程与阵列(64 32)1 CLK2 I3 I4 I5 I6 I7 I8 I9 II/O 19I/O 18I/O 17I/O 16I/O 15I/O 14I/O 13I/O 12OE 112. GAL16V8逻辑图逻辑图与阵列的作用是产生与阵列的作用是产生输入信号的乘积项。其输输入信号的乘积项。其输入信号为入信号为 8 个输入端提供个输入端提供的原、反变量的原、反变量和和 8 个反馈个反馈输入端提供的原、反变量输入端提供的原、反变量。产生这些变量的哪些乘积产生这些变量的哪些乘积项,则由对与阵列的编程

16、项,则由对与阵列的编程决定。决定。 时钟输入端,提供时序电路所需要的时钟信号。时钟输入端,提供时序电路所需要的时钟信号。输出使能控制输入端。输出使能控制输入端。它作为全局控制信号控制各它作为全局控制信号控制各 I/O 端的工作方式。端的工作方式。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用 是是 E2CMOS 器件。器件。每片含每片含 64 个触发器和个触发器和 32 个锁存器,工作频率分个锁存器,工作频率分 60 MHz、80 MHz、 90 MHz 和和 110 MHz 四档。四档。采用采用 +5 V 电源。电源。ispLSI / pLSI1016 ( (二二) ) ispLSI /

17、 pLSI1016 简介简介I/O 2828I/O 11I/O 29I/O 30I/O 18I/O 17I/O 16I/O 1I/O 2I/O 0I/O 12I/O 13I/O 14I/O 15I/O 3I/O 4I/O 5I/O 6I/O 8I/O 9I/O 102726252423222120291819171615141312111020404142434412346 5987313233343536373839I/O 27I/O 26I/O 25I/O 24I/O 19I/O 20I/O 21I/O 22I/O 23IN3GNDGNDI/O 7SDO/IN1SDI/IN0Y2/SCLK

18、Y1/RESETVCCIN2/MODEispEN/NCY0I/O 31VCCispLSI 1016 PLSI 1016 Top View isp1016 外外 引引 线线 图图 有有 44 个引脚,即个引脚,即 32 个个 I/O 引脚、引脚、4 个个专用输入引脚专用输入引脚( (IN0 IN3) )、3 个个时钟输时钟输入引脚入引脚( (Y0 Y2 ) )、1个个专用专用编程控制引脚编程控制引脚( (ispEN) )和和4个电源引个电源引脚脚( (GND、VCC) ) 。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用 4 个引脚个引脚 SDI / IN0 、SDO / IN1、 SCLK

19、 / Y2 、MODE / IN2 与编程引脚复与编程引脚复用。当编程控制引脚用。当编程控制引脚 ispEN = 1 时,这时,这 4 个引脚功能为个引脚功能为 IN0、 IN1、Y2 和和 IN2;当当编程控制引脚编程控制引脚 ispEN = 0 时,这时,这 4 个引脚个引脚为编程引脚,分别为为编程引脚,分别为 SDI、SDO、 SCLK 和和 MODE。 是是 E2CMOS 器件。器件。每片含每片含 64 个触发器和个触发器和32 个触发器,工作频率个触发器,工作频率分分 60 MHz、80 MHz、90 MHz 和和 110 MHz 四四档。采用档。采用 +5v 电源。电源。 ( (二

20、二) )ispLSI / pLSI1016 简介简介I/O 2828I/O 11I/O 29I/O 30I/O 18I/O 17I/O 16I/O 1I/O 2I/O 0I/O 12I/O 13I/O 14I/O 15I/O 3I/O 4I/O 5I/O 6I/O 8I/O 9I/O 102726252423222120291819171615141312111020404142434412346 5987313233343536373839I/O 27I/O 26I/O 25I/O 24I/O 19I/O 20I/O 21I/O 22I/O 23IN3GNDGNDI/O 7SDO/IN1SD

21、I/IN0Y2/SCLKY1/RESETVCCIN2/MODEispEN/NCY0I/O 31VCCispLSI 1016 PLSI 1016 Top View isp1016 外外 引引 线线 图图EXIT 可编程逻辑器件及应用可编程逻辑器件及应用 是是 E2CMOS 器件。器件。每片含每片含 64 个触发器和个触发器和32 个触发器,工作频率个触发器,工作频率分分 60 MHz、80 MHz、90 MHz 和和 110 MHz 四四档。采用档。采用 +5v 电源。电源。 ( (二二) ) ispLSI / pLSI1016 简介简介I/O 2828I/O 11I/O 29I/O 30I/O

22、 18I/O 17I/O 16I/O 1I/O 2I/O 0I/O 12I/O 13I/O 14I/O 15I/O 3I/O 4I/O 5I/O 6I/O 8I/O 9I/O 102726252423222120291819171615141312111020404142434412346 5987313233343536373839I/O 27I/O 26I/O 25I/O 24I/O 19I/O 20I/O 21I/O 22I/O 23IN3GNDGNDI/O 7SDO/IN1SDI/IN0Y2/SCLKY1/RESETVCCIN2/MODEispEN/NCY0I/O 31VCCispLS

23、I 1016 PLSI 1016 Top View isp1016 外外 引引 线线 图图Y1 /Reset 也是功能复用脚,也是功能复用脚,用于时钟输入或系统复位控制。用于时钟输入或系统复位控制。默认为系统复位端,若要用作默认为系统复位端,若要用作时钟输入端,须通过编译器控时钟输入端,须通过编译器控制参数来定义制参数来定义。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用MODE/IN 2全局布线区全局布线区(GRP) isp1016 结结 构构 框框 图图Y0A0IN 3I/O 0I/O 1I/O 2SDO/IN 1SDI/IN 0ispEN/NCI/O 3I/O 4I/O 5I/O 6

24、I/O 7I/O 8I/O 9I/O 10I/O 11I/O 12I/O 13I/O 14I/O 15I/O 31I/O 30I/O 29I/O 28I/O 27I/O 26I/O 25I/O 24I/O 23I/O 22I/O 21I/O 20I/O 19I/O 18I/O 17I/O 16输输出出布布线线区区输输入入总总线线输输出出布布线线区区输输入入总总线线CLK0CLK1CLK2IOCLK0IOCLK1时钟时钟分配分配网络网络A1A2A3A4A5A6A7B0B1B2B3B4B5B6B7SCLK/Y2Y1GLB主要由主要由通用逻辑块通用逻辑块( (GLB) )、全局布线区全局布线区( (

25、GRP) )、输出布线区输出布线区( (ORP) )、输入总线、输入总线、输入输出单输入输出单元元( (IOC) )、和时钟分配和时钟分配网络网络( (CDN) )等构成等构成。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用通用逻辑块通用逻辑块( (即即 Generic Logic Block,简称简称 GLB) ),是是 ispLSI / pLSI 芯片内部的基本逻辑单元,是最关键的部芯片内部的基本逻辑单元,是最关键的部件,系统的逻辑功能主要由它来实现件,系统的逻辑功能主要由它来实现。 GLB 的的 结结 构构 框框 图图与与 阵阵列列控控 制制 逻逻 辑辑乘积项乘积项 共共享阵列享阵列

26、来自来自GRP的输入的输入乘积项乘积项复位时钟复位时钟直接直接输入输入输出到输出到 GRP、ORP 或或 I/O四四输出输出 逻逻辑宏单元辑宏单元2162044一个一个 GLB 的功能相当于半个的功能相当于半个 GAL16V8,但比但比GAL 更强。更强。1016 有有 16 个个 GLB( (A0 A7 和和 B0 B7 ) )。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用MODE/IN 2全局布线区全局布线区(GRP) isp1016 结结 构构 框框 图图Y0A0IN 3I/O 0I/O 1I/O 2SDO/IN 1SDI/IN 0ispEN/NCI/O 3I/O 4I/O 5I/

27、O 6I/O 7I/O 8I/O 9I/O 10I/O 11I/O 12I/O 13I/O 14I/O 15I/O 31I/O 30I/O 29I/O 28I/O 37I/O 36I/O 25I/O 24I/O 23I/O 22I/O 21I/O 20I/O 19I/O 18I/O 17I/O 16输输出出布布线线区区输输入入总总线线输输出出布布线线区区输输入入总总线线CLK0CLK1CLK2IOCLK0IOCLK1时钟时钟分配分配网络网络A1A2A3A4A5A6A7B0B1B2B3B4B5B6B7SCLK/Y2Y1GLB 全局布线区全局布线区( (又称集总布线区,即又称集总布线区,即 Glo

28、bal Routing Pool,简称简称 GRP) ),是是可编程连线网络,通过它可将芯片可编程连线网络,通过它可将芯片内所有逻辑块及内所有逻辑块及 IOC 相互连接相互连接。 输出布线区输出布线区( (即即 Output Routing Pool ,简称简称 ORP) ),它是能实现它是能实现 GLB 和和 IOC 之间互连的可编程互连阵列之间互连的可编程互连阵列。输入总线的主要作用是把输入总线的主要作用是把 IOC 的输入信号送到的输入信号送到 GRP 。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用MODE/IN 2全局布线区全局布线区(GRP) isp1016 结结 构构 框框

29、图图Y0A0IN 3I/O 0I/O 1I/O 2SDO/IN 1SDI/IN 0ispEN/NCI/O 3I/O 4I/O 5I/O 6I/O 7I/O 8I/O 9I/O 10I/O 11I/O 12I/O 13I/O 14I/O 15I/O 31I/O 30I/O 29I/O 28I/O 37I/O 36I/O 25I/O 24I/O 23I/O 22I/O 21I/O 20I/O 19I/O 18I/O 17I/O 16输输出出布布线线区区输输入入总总线线输输出出布布线线区区输输入入总总线线CLK0CLK1CLK2IOCLK0IOCLK1时钟时钟分配分配网络网络A1A2A3A4A5A6

30、A7B0B1B2B3B4B5B6B7SCLK/Y2Y1GLB 输入输出单元输入输出单元( (即即 I/O Cell,简称简称 IOC) ),它具有输入、,它具有输入、输出和双向输出和双向 I/O 三种模式,每一种模式又有多种不同方式,三种模式,每一种模式又有多种不同方式,可通过编程来进行选择可通过编程来进行选择。1016 共有共有 32 个个 IOC 。 时钟分配网络时钟分配网络( (即即 Clock Distribution Network,简称简称 CDN) )的作用是通过编程提供各部分所需的时钟。其输入的作用是通过编程提供各部分所需的时钟。其输入信号由信号由 3 个外时钟输入端个外时钟输

31、入端( (Y0 Y2) )和一个可由用户定义和一个可由用户定义的内部时钟输入端提供的内部时钟输入端提供。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用主要要求:主要要求: 了解用了解用 PLD 实现逻辑函数的原理。实现逻辑函数的原理。 了解用了解用 PLD 设计逻辑电路的基本方法。设计逻辑电路的基本方法。 10.2可编程逻辑器件的应用可编程逻辑器件的应用EXIT 可编程逻辑器件及应用可编程逻辑器件及应用 ( 一一 ) PLD 的简单应用举例的简单应用举例CBAL1L2L0 用用 PLD 实现逻辑函数实现逻辑函数L2 = ABC + ABC + ABC + ABCL1 = BC + BCL0

32、 = BC + BCCBAL1L2L0通通过过对对与与阵阵列列编编程程可得到所需乘积项。可得到所需乘积项。通通过过对对或或阵阵列列编编程程得到所需乘积项之和。得到所需乘积项之和。L0 = BC + BC由于任何一个组合逻辑函数均可表示为标准与或由于任何一个组合逻辑函数均可表示为标准与或式,因此,理论上可用式,因此,理论上可用 PLD 实现任何组合逻辑函数。实现任何组合逻辑函数。 ( (一一) ) PLD 的简单应用举例的简单应用举例EXIT 可编程逻辑器件及应用可编程逻辑器件及应用 ( 二二 ) PLD 的应用方法的应用方法 ( (二二) ) PLD 的应用方法的应用方法1. PLD 的基本设

33、计方法的基本设计方法设计准备设计准备宏宏元件库元件库设计输入设计输入 电路图电路图 程序程序 设计处理设计处理编译与优化编译与优化连接与适配连接与适配功能仿真功能仿真下载下载( (编程编程) ) PLD 设设 计计 流流 程程 图图 设计过程设计过程EXIT 可编程逻辑器件及应用可编程逻辑器件及应用( (1) ) 设计准备设计准备首首先先应应分分析析设设计计要要求求,预预估估电电路路形形式式与与规规模模,从从而而选选择择合合适适的的 PLD 。一一般般所所设设计计电电路路需需用用的的 I/O 端端数数量量和和 GLB 数数量量不不要要超超过过所所选选芯芯片片所所能能提提供供数数量量的的 80%

34、 。然然后后根根据据选选定定的的 PLD 确定应采用何种设计开发工具。确定应采用何种设计开发工具。( (2) ) 设计输入设计输入设设计计输输入入在在软软件件开开发发工工具具上上进进行行。对对于于低低密密度度 PLD ,可可采采用用象象 ABEL 这这样样的的简简单单开开发发软软件件,可可采采用用逻逻辑辑方方程程输输入入方方式式。对对于于高高密密度度 PLD ,可可采采用用逻逻辑辑电电路路图图、VHDL 语语言言 ( (即超高速集成电路硬件描述语言即超高速集成电路硬件描述语言) )和波形图等输入方式。和波形图等输入方式。 设计输入时,应尽量调用设计软件中所提供的元件。设计输入时,应尽量调用设计

35、软件中所提供的元件。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用( (3) ) 设计处理设计处理开开发发软软件件首首先先对对设设计计输输入入的的文文件件进进行行“语语法法检检查查、编编译译和逻辑优化和逻辑优化”。这这一一步步通通过过后后,将将进进行行“连连接接与与适适配配”,其其作作用用是是自自动动进行布局布线设计。进行布局布线设计。“连连接接与与适适配配”通通过过后后,将将产产生生标标准准 JEDEC 文文件件。并并自动生成一个有关设计信息的设计报告。自动生成一个有关设计信息的设计报告。它它是按是按电子器件工程联合协会所制定的标电子器件工程联合协会所制定的标准格式编写的关于器件编程信息

36、的计算机文件,准格式编写的关于器件编程信息的计算机文件,也称也称熔丝图文件熔丝图文件,简称,简称 JED 文件。把它下载文件。把它下载到到 PLD 中,即实现了逻辑电路。中,即实现了逻辑电路。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用( (4) ) 功能仿真功能仿真用以验证逻辑功能。用以验证逻辑功能。( (5) ) 下载下载( (编程编程) )普普通通 PLD 要要用用编编程程器器进进行行下下载载:把把待待编编程程的的器器件件插插入入编编程程器器的的插插座座内内,使使用用编编程程器器配配套套的的编编程程软软件件就就可可以以将将 JEDEC 文文件件写写入入 PLD 芯芯片片。对对于于

37、ispPLD ,不不需需要要专专用用编编程程器器,把把用用户户电电路路板板通通过过编编程程线线与与微微机机连连接接,利利用用菊菊花花链下载软件,即可对链下载软件,即可对 ispPLD 芯片进行在系统编程。芯片进行在系统编程。 即将即将 JEDEC 文件下载到器件中,使文件下载到器件中,使 PLD 具有所设具有所设计的逻辑功能。计的逻辑功能。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用 例例 使用使用 ispLSI1016 实现同步七进制计数器。实现同步七进制计数器。2. 应用举例应用举例解:解:( (1) ) 采用采用 Synario System软件软件进行设计。进行设计。 ispLS

38、I1016 是美国是美国 Lattice 公司的产品,可公司的产品,可采用其支持软件采用其支持软件 Synario System 进行设计。进行设计。( (2) ) 设计输入采用电路图输入方式。设计输入采用电路图输入方式。利用电路图输入环境下的绘图工具,并调用其元件库中的利用电路图输入环境下的绘图工具,并调用其元件库中的 4 位同步二进制计数器位同步二进制计数器 TTL163 和与非门等输入下图。和与非门等输入下图。3D0D1D2D3TTL163Q0Q1Q2Q3EN1EN2LDCS1-5VCCCLOCK Q011VCCCAO1-21-11-31-41-71-6456 Q1 Q2 Q3这是软件中

39、要求的输入输出端格式,这是软件中要求的输入输出端格式,其中的数字为用户所定义的输入输出引脚。其中的数字为用户所定义的输入输出引脚。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用( (3) ) 利用利用 Synario System 项目管理器窗口的操作项目管理器窗口的操作完成设计处理与功能仿真。完成设计处理与功能仿真。( (4) )利用利用 Lattice 公司的公司的“菊花链下载软件菊花链下载软件 IDCD”将上一步生成的将上一步生成的 JEDEL 文件下载到东南大学雨顺电子文件下载到东南大学雨顺电子技术有限公司的技术有限公司的 SE-2 实验板中。实验板中。( (5) )逻辑功能实测:

40、利用逻辑功能实测:利用 SE-2 实验板提供的时钟实验板提供的时钟信号和译码显示电路,就可以观测信号和译码显示电路,就可以观测 ispLSI1016 的工作的工作情况。情况。正常情况下将执行七进制计数功能正常情况下将执行七进制计数功能。 EXIT 可编程逻辑器件及应用可编程逻辑器件及应用本章小结本章小结PLD 由由与与阵阵列列、或或阵阵列列和和输输入入输输出出电电路路组组成成。输输入入电电路路主主要要产产生生输输入入变变量量的的原原变变量量和和反反变变量量,并并提提供供一一定定的的输输入入驱驱动动能能力力,与与阵阵列列用用于于产产生生逻逻辑辑函函数数的的乘乘积积项项,或或阵阵列列用用于于获获得

41、得积积之之和和,因因此此,从从原原理理上上讲讲,可可编编程程逻逻辑辑器器件件可可以以实实现现任任何何组组合合逻逻辑辑函函数数。输输出出电电路路可可提提供供多多种种不不同同的的输输出出结结构构,其其中中可可包包含含触触发发器器,从从而而使使 PLD 也能实现时序逻辑功能。也能实现时序逻辑功能。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用PLD 根根据据可可编编程程部部位位不不同同,分分为为半半场场可可编编程程和和全全场场可可编编程程器器件件。 PROM、PAL 和和 GAL 只只有有一一种种阵阵列列可可编编程程,称称为为半半场场可可编编程程逻逻辑辑器器件件,PLA 的的与与阵阵列列和和或或

42、阵阵列列均均可可编编程程,称称为为全全场场可可编编程程逻逻辑辑器器件件。全全场场可可编编程程器器件件由由于于技技术术复复杂杂,价价格格昂昂贵贵,加加上上编编程程软软件件不不够够成成熟熟,因因此此使使用用很很少少。而而半半场场可可编编程程器器件件简简单单、经经济济、编编程程软软件件丰丰富富且且成成熟熟,因因而而应应用用广广泛泛,其其中中最最为为常常用用的的是是 GAL 。 GAL 具具有有可重复编程和输出可组态的优点。可重复编程和输出可组态的优点。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用PLD采采用用 PROM 工工艺艺的的称称为为一一次次可可编编程程器器件件( (又又简简称称 OTP

43、 芯芯片片,OTP 是是 Only Time Programmable 的的缩缩写写) ),如如 PAL 等等器器件件。采采用用 E2PROM 工工艺艺的的为为可可重重复复编编程程的的可可编编程器件,如程器件,如 GAL、ISP-PLD 系列器件等。系列器件等。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用ISP-PLD 不需要编程器,可直接对用户板不需要编程器,可直接对用户板上的器件进行编程,可在不改动硬件电路上的器件进行编程,可在不改动硬件电路的情况下,实现对产品的改进和升级。它的情况下,实现对产品的改进和升级。它由于具有集成密度高、工作速度快、编程由于具有集成密度高、工作速度快、编程方法先进、设计周期短等一系列优点,发方法先进、设计周期短等一系列优点,发展非常迅速,前景十分看好。展非常迅速,前景十分看好。EXIT 可编程逻辑器件及应用可编程逻辑器件及应用设计准备设计准备宏宏元件库元件库设计输入设计输入 电路图电路图 程序程序 设计处理设计处理编译与优化编译与优化连接与适配连接与适配功能仿真功能仿真下载下载( (编程编程) ) PLD 设设 计计 流流 程程 图图

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 文学/艺术/历史 > 人文/社科

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号