最新序列发生器设计2PPT课件

上传人:工**** 文档编号:568728401 上传时间:2024-07-26 格式:PPT 页数:17 大小:534KB
返回 下载 相关 举报
最新序列发生器设计2PPT课件_第1页
第1页 / 共17页
最新序列发生器设计2PPT课件_第2页
第2页 / 共17页
最新序列发生器设计2PPT课件_第3页
第3页 / 共17页
最新序列发生器设计2PPT课件_第4页
第4页 / 共17页
最新序列发生器设计2PPT课件_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《最新序列发生器设计2PPT课件》由会员分享,可在线阅读,更多相关《最新序列发生器设计2PPT课件(17页珍藏版)》请在金锄头文库上搜索。

1、序列发生器设计序列发生器设计 (2)序列发生器序列发生器数字系统中,常需要串行周期性信号;序列信号:按照特定顺序排列的串行数字信号;序列信号发生器:生成某个特定规则下的序列信号的电路。2序列发生器的功能仿真波形的建立序列发生器的功能仿真波形的建立9计数型序列信号发生器计数型序列信号发生器以同步计数器为基础;例:设计产生序列信号为1111000100的发生器;序列长度M=10,选用一个模10的同步计数器10计数型序列信号发生器计数型序列信号发生器Q3Q2Q1Q0F00001000110010100111010000101001100011111000010010F=(not(Q3)and not

2、(Q2) or (Q1 and Q0)11计数型序列信号发生器计数型序列信号发生器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity counterxuelie isport(clk:in std_logic; clr: in std_logic; f:out std_logic; q:out std_logic_vector(3 downto 0);end counterxuelie;architecture behaviora

3、l of counterxuelie issignal q0:std_logic_vector(3 downto 0);signal temp1,temp2,temp3:std_logic;beginprocess(clk,clr)beginif(clr=0)thenq0=0000;elsif(clkevent and clk=1)thenif(q0=1001)thenq0=0000;elseq0=q0+1;end if;end if;end process;q=q0;temp1=(not q0(3)and (not q0(2);temp2=q0(1) and q0(0);temp3=(not temp1) and (not temp2);f state = S1 ; current_state state = S2 ; current_state state = S3; current_statestate = S4;current_statestate = S5;current_statestate = S6; current_statestate = S0; current_state state = S1; end case; END IF; end process;end Behavioral;15状态图状态图利用利用QuartusII软件软件16

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 医学/心理学 > 基础医学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号