数字系统硬件设计概述.ppt

上传人:夏** 文档编号:568657778 上传时间:2024-07-25 格式:PPT 页数:31 大小:651KB
返回 下载 相关 举报
数字系统硬件设计概述.ppt_第1页
第1页 / 共31页
数字系统硬件设计概述.ppt_第2页
第2页 / 共31页
数字系统硬件设计概述.ppt_第3页
第3页 / 共31页
数字系统硬件设计概述.ppt_第4页
第4页 / 共31页
数字系统硬件设计概述.ppt_第5页
第5页 / 共31页
点击查看更多>>
资源描述

《数字系统硬件设计概述.ppt》由会员分享,可在线阅读,更多相关《数字系统硬件设计概述.ppt(31页珍藏版)》请在金锄头文库上搜索。

1、1VHDL与复杂数字系统设计2使用教材使用教材1侯侯伯伯亨亨等等,VHDL硬硬件件描描述述语语言言与与数数字字逻逻辑辑电电路路设设计计(第第三三版版),西安电子科技大学出版社,西安电子科技大学出版社,2009年。年。参考教材参考教材1乔乔庐庐峰峰、王王志志功功等等译译,VHDL数数字字电电路路设设计计教教程程,电电子子工工业业出出版版社社,2005年;年;2林林敏敏、方方颖颖立立,VHDL数数字字系系统统设设计计与与高高层层次次综综合合,电电子子工工业业出出版版社,社,2002年;年;3曾繁泰、陈美金,曾繁泰、陈美金,VHDL程序设计程序设计,清华大学出版社,清华大学出版社,2001年;年;4

2、俊超,俊超,集成电路设计集成电路设计VHDL教程教程,北京希望电子出版社,北京希望电子出版社,2002年;年;5林明权,林明权,VHDL数字控制系统设计范例数字控制系统设计范例,电子工业出版社,电子工业出版社,2003年;年;6北北京京理理工工大大学学ASIC研研究究所所,VHDL 100例例详详解解,清清华华大大学学出出版版社社,1999年。年。3课程内容安排课程内容安排 介绍数字集成电路设计的基本概念;介绍数字集成电路设计的基本概念;掌握掌握EDA工具工具MAXPLUS 的基本使用方法;的基本使用方法;掌握掌握VHDL的基本语法和主要编程要点;的基本语法和主要编程要点;掌握基本及常用数字功

3、能电路的掌握基本及常用数字功能电路的VHDL设计。设计。 本课程理论课时本课程理论课时56学时,答疑学时,答疑8学时。学时。总学时总学时64 64 4成绩考评方式成绩考评方式平时成绩:平时成绩:8次作业,其中上机练习次作业,其中上机练习8次次20%堂堂上上10;考试成绩:考试成绩:70%教师联系方式教师联系方式办公室地点:办公室地点:2教教504E-mail: 5班干联系方式班干联系方式班次班次人数人数班长班长学习委员学习委员10微微110微微210微微3兴湘微兴湘微电子电子11上课时间地点:上课时间地点:周三周三 九、十节九、十节 兴湘兴湘B101B101周四周四 五、六节五、六节 兴湘兴湘

4、B101B1016第一章第一章 数字系统硬件设计概述数字系统硬件设计概述集成电路设计方法集成电路设计方法集成电路设计一般流程集成电路设计一般流程传统与现代集成电路设计方法比较传统与现代集成电路设计方法比较VHDL硬件描述语言硬件描述语言7一、集成电路设计方法一、集成电路设计方法1从从功能和实现功能和实现的先后顺序上分的先后顺序上分 正向正向(Forward)设计设计 反向反向(Backward)设计设计正正向向设设计计:由由设设计计者者提提出出一一个个功功能能要要求求,然然后后通通过过综合得到最终的半导体实现。综合得到最终的半导体实现。反反向向设设计计:对对已已有有的的一一个个半半导导体体实实

5、现现,通通过过分分析析得得到到它它的的结结构构和和功功能能,在在此此基基础础上上进进行行模模仿仿或或修修改改,实现类似的电路功能。实现类似的电路功能。8正向设计正向设计行为设计行为设计算法设计算法设计结构设计结构设计逻辑设计逻辑设计电路设计电路设计版图设计版图设计功能分析功能分析电路提取电路提取版图分析版图分析模仿修改模仿修改逻辑设计逻辑设计电路设计电路设计版图设计版图设计反向设计反向设计9反向设计方法应用越来越小的原因反向设计方法应用越来越小的原因1.ASIC的的功功能能多多样样化化、专专门门化化,开开发发者者无无法法从从已已有有芯芯片片产产品品当当中中找找到到合合乎乎自自己己特特殊殊功功能

6、能及及性性能能要要求求的的专专用用集集成成电电路。路。2.对大规模高集成度的芯片进行版图分析非常困难。对大规模高集成度的芯片进行版图分析非常困难。3.集集成成电电路路产产品品加加强强了了保保密密措措施施,使使反反向向设设计计几几乎乎成成为为不不可能。可能。基基于于以以上上原原因因正正向向设设计计得得到到越越来来越越广广泛泛的的研研究究和和应应用用,而而正正向向设设计计的的发发展展主主要要依依赖赖于于高高层层次次综综合合、逻逻辑辑综综合合、版图综合各层次综合方法和工具的发展。版图综合各层次综合方法和工具的发展。10 2从从整体和局部整体和局部的先后顺序上分的先后顺序上分 自顶向下自顶向下(Top

7、-down)的设计的设计 自底向上自底向上(Bottom-up)的设计的设计 自顶向下自顶向下(Top-down)的设计:的设计:设计者从整体上规划系设计者从整体上规划系统的功能和性能,然后对系统进行划分,分解为规模统的功能和性能,然后对系统进行划分,分解为规模较小、功能较为简单的局部模块,并确立它们之间的较小、功能较为简单的局部模块,并确立它们之间的相互关系,这种划分过程不断地进行下去,直到划分相互关系,这种划分过程不断地进行下去,直到划分得到的单元可以映射到物理实现。得到的单元可以映射到物理实现。自底向上自底向上(Bottom-up)的设计:的设计:设计者首先选择具体的设计者首先选择具体的

8、逻辑单元,进行逻辑电路设计,得到系统需要的独立逻辑单元,进行逻辑电路设计,得到系统需要的独立功能单元,然后把这些模块单元连接起来组装成整个功能单元,然后把这些模块单元连接起来组装成整个系统。系统。11自顶向下自顶向下(Top-down)用系统级行为描述表达一用系统级行为描述表达一个包含输入输出的顶层模个包含输入输出的顶层模块,同时完成整个系统模块,同时完成整个系统模拟与性能分析拟与性能分析将系统划分为各个功能模将系统划分为各个功能模块,每个模块由更细化的块,每个模块由更细化的行为描述表达行为描述表达由由EDA综合工具完成到工综合工具完成到工艺的映射艺的映射由基本门组成各个组合与由基本门组成各个

9、组合与时序逻辑单元时序逻辑单元由逻辑单元组成各个独立由逻辑单元组成各个独立的功能模块的功能模块由各个功能模块连成一个由各个功能模块连成一个完整系统完整系统进行整个系统的测试与性进行整个系统的测试与性能分析能分析自底向上自底向上(Buttom-up)12Bottom-up设设计计方方法法从从传传统统的的手手工工设设计计发发展展而而来来,一一个个硬硬件件系系统统的的实实现现过过程程从从选选择择具具体体的的元元器器件件开开始始,CAD软软件件是是按照这种设计流程建立的。按照这种设计流程建立的。缺缺点点:底底层层设设计计时时缺缺乏乏对对整整个个系系统统总总体体性性能能的的把把握握,完完成成后修改困难。

10、后修改困难。Top-down设设计计方方法法是是随随着着硬硬件件描描述述语语言言和和EDA工工具具同同步步发发展展起起来来的的。硬硬件件描描述述语语言言能能在在各各个个抽抽象象层层次次上上对对电电子子系系统统进进行描述,借助行描述,借助EDA工具自动实现从高层次到低层次的转换。工具自动实现从高层次到低层次的转换。 优优点点:在在各各个个层层次次上上易易于于调调整整设设计计,保保证证设设计计的的正正确确性性,缩短了设计周期,适于设计大规模电路。缩短了设计周期,适于设计大规模电路。13二、集成电路设计一般流程二、集成电路设计一般流程 集成电路设计流程集成电路设计流程就是为实现集成电路从就是为实现集

11、成电路从功能功能定义定义到到半导体实现半导体实现的整个过程所需要进行的所有工的整个过程所需要进行的所有工作及其先后次序。作及其先后次序。 规范、科学的集成电路设计流程,可提高设计规范、科学的集成电路设计流程,可提高设计活动的效率和可靠性,有利于设计活动的管理和交活动的效率和可靠性,有利于设计活动的管理和交流。流。14行为级描述行为级描述行为级优化、仿真及向行为级优化、仿真及向RTL级描述的转化级描述的转化选定工艺库,确定约束条件,完成逻辑综合与逻辑优化选定工艺库,确定约束条件,完成逻辑综合与逻辑优化门级仿真门级仿真测试生成测试生成布局布线布局布线参数提取参数提取后仿真后仿真制版流片制版流片与工

12、艺无关设计与工艺无关设计前端设计前端设计后端设计后端设计IC设计一般流程设计一般流程15三、传统与现代集成电路设计方法三、传统与现代集成电路设计方法比较比较数数字字系系统统的的设设计计历历来来存存在在两两个个分分支支系系统统硬硬件件设设计计和和软软件件设设计计。所所以以设设计计人人员员也也因因工工作作性性质质的的不不同被分成两群同被分成两群硬件设计人员和软件设计人员。硬件设计人员和软件设计人员。硬硬件件描描述述语语言言出出现现之之后后,数数字字系系统统的的硬硬件件构构成成及及其其行行为为可可以以借借助助硬硬件件描描述述语语言言来来描描述述和和仿仿真真。所所以以,软软件件人人员员借借助助HDL语

13、语言言同同样样可可以以设设计计出出符符合合要求的硬件系统。要求的硬件系统。教教材材上上以以六六进进制制计计数数器器的的设设计计为为例例,说说明明了了这这种种硬件设计方法的变化。硬件设计方法的变化。161、传统设计方法的主要特征、传统设计方法的主要特征(1)采用自底向上的设计方法)采用自底向上的设计方法六进六进制计制计数器数器选择逻辑选择逻辑元器件元器件JK触发器、D触发器、基本门电路状态转状态转移图移图001100000110111011列状态列状态转移表转移表表1-1 触发器状态变化表表1-2 Q2,Q1输出和Q0的J,K输入关系表Q2Q1Q017表表1-1 1-1 触发器状态变化表触发器状

14、态变化表触发器触发器状态状态前一状态前一状态当前状态当前状态前一状态前一状态当前状态当前状态前一状态前一状态当前状态当前状态100000120001113011111411111051110006100000计数脉冲计数脉冲18表表1-2 Q2,Q1输出和输出和Q0的的J,K输入关系表输入关系表触发器触发器状态状态前一状态前一状态前一状态前一状态JK前一状态前一状态当前状态当前状态100100120010113010011411011051101006100000计数脉冲计数脉冲19得状态得状态转移方转移方程程得电路得电路图图20(2)采用通用的逻辑元、器件)采用通用的逻辑元、器件(3)在系统

15、硬件设计的后期进行仿真和调试)在系统硬件设计的后期进行仿真和调试 需在硬件系统构成以后仿真和调试,很可能需在硬件系统构成以后仿真和调试,很可能造成设计周期的加长。造成设计周期的加长。 (4)主要设计文件是电原理图)主要设计文件是电原理图 电原理图详细标注了逻辑元、器件的名称和相电原理图详细标注了逻辑元、器件的名称和相互之间的信号连接关系,对大的系统电原理图太互之间的信号连接关系,对大的系统电原理图太多,给归档、阅读、修改和使用带来不便。多,给归档、阅读、修改和使用带来不便。212、现代设计方法的主要特征、现代设计方法的主要特征(1)采用自顶向下的设计方法)采用自顶向下的设计方法 硬件描述语言支

16、持自顶向下的设计,可描述硬件描述语言支持自顶向下的设计,可描述硬件电路的功能,信号连接关系及定时关系。硬件电路的功能,信号连接关系及定时关系。 用硬件描述语言,设计者将自顶向下分成三用硬件描述语言,设计者将自顶向下分成三个层次对系统硬件进行设计:个层次对系统硬件进行设计: 层次一:层次一:行为描行为描述述层次二:层次二:RTL描述描述层次三:层次三:逻辑综逻辑综合合22六进六进制计制计数器数器实实质质是是对对整整个个数数字字系系统统的的数数学学模模型型的的描描述述,是是输输入入和和输输出出映映射射关关系的描述。系的描述。 不不考考虑虑实实际际的的操操作作和和算算法法用用何何方方法实现。法实现。

17、层次一:层次一:行为描行为描述述层次二:层次二:RTL描述描述层次三:层次三:逻辑综逻辑综合合从从信信号号存存储储、传传输输的的角角度度去去描描述述整整个个系统的。系统的。只只有有采采用用这这种种方方式式,才才能能导导出出系系统统的的逻逻辑辑表表达达式式,进进行行逻逻辑辑综综合合,映映射射到到具具体体逻逻辑辑元元件件结结构构的的硬件实现硬件实现。从从各各种种逻逻辑辑门门的的组组合合、连连接接的的角角度度去去描述整个系统。描述整个系统。利利用用逻逻辑辑综综合合工工具具,将将RTL方方式式描描述述的的程程序序转转换换成成用用基基本本逻逻辑辑元元件件表表示示的的文文件件(门门级级网网表表)。此此后后

18、,进进行行门门级级仿仿真真,定时关系检查。定时关系检查。23最终完成硬件设计的两种选择:最终完成硬件设计的两种选择:若采用若采用ASIC,则进行以下步骤:,则进行以下步骤: 电路设计:具体器件及互连线设计,电路图设计等;电路设计:具体器件及互连线设计,电路图设计等; 版图设计:将电路对应成为几何图形,做出版图网表版图设计:将电路对应成为几何图形,做出版图网表(net list);); 时序仿真:考虑器件及连线延时,检查电路时序关系时序仿真:考虑器件及连线延时,检查电路时序关系是否正确;是否正确; 芯片流片:通过半导体工艺流程制作所设计的芯片;芯片流片:通过半导体工艺流程制作所设计的芯片; 封装

19、测试。封装测试。若采用若采用PLD器件器件,则进行以下步骤:,则进行以下步骤: 电路综合:得到门级网表;电路综合:得到门级网表; 电路下载:将所设计电路写入所选定的电路下载:将所设计电路写入所选定的PLD器件中。器件中。24(2)系统中可大量采用)系统中可大量采用ASIC 芯片芯片 不受元器件是否通用的限制。不受元器件是否通用的限制。(3)采用系统早期仿真)采用系统早期仿真 自顶向下要进行三级仿真:系统数学模型的仿真、系自顶向下要进行三级仿真:系统数学模型的仿真、系统数据流的仿真和系统门电路电原理的仿真,利于尽早发统数据流的仿真和系统门电路电原理的仿真,利于尽早发现设计中的问题。现设计中的问题

20、。(4)降低了硬件设计难度)降低了硬件设计难度 不需要手工写出逻辑表达式,降低设计难度,缩短设计不需要手工写出逻辑表达式,降低设计难度,缩短设计周期。周期。(5)主要设计文件是用)主要设计文件是用HDL编写的源程序编写的源程序 资料量小、可重复利用、阅读方便。资料量小、可重复利用、阅读方便。253、总结比较、总结比较传统集成电路设计传统集成电路设计现代集成电路设计现代集成电路设计设计方法类别设计方法类别设计手段设计手段系统构成系统构成仿真调试阶段仿真调试阶段自底向上自底向上自顶向下自顶向下电路原理图电路原理图硬件描述语言硬件描述语言通用元器件通用元器件ASIC电路电路在设计的后期进行在设计的后

21、期进行在设计的早期进行在设计的早期进行26四、四、VHDL硬件描述语言硬件描述语言1、 VHDL简介简介VHDL是是 Very High Speed Integration Circuits Hardware Description Language 的的缩缩写写,即即“超超高高速集成电路硬件描述语言速集成电路硬件描述语言”。起源:1985年,美国国防部提出计划;年,美国国防部提出计划; 1987年成为年成为IEEE1076-1987标准;标准; 1993年年进进一一步步修修订订完完善善成成为为 IEEE1076-1993标准;标准;272、VHDL语言的特点语言的特点(1) 设计技术齐全、方

22、法灵活、支持广泛设计技术齐全、方法灵活、支持广泛支持自顶向下支持自顶向下Top-down和基于库和基于库Library-based的设计方法。的设计方法。支持同步、异步、支持同步、异步、FPGA以及其它随机电路的设计。以及其它随机电路的设计。VHDL语言早在语言早在1987年年12月已经作为月已经作为IEEE_STD_1076标准公标准公开发布。开发布。(2)系统硬件描述能力强)系统硬件描述能力强具有具有多层次描述系统硬件功能多层次描述系统硬件功能的能力。的能力。高层次的行为描述可以与低层次高层次的行为描述可以与低层次RTL描述和结构描述混合使描述和结构描述混合使用。用。最突出的优点是能进行系

23、统级的硬件描述。最突出的优点是能进行系统级的硬件描述。28(3)VHDL语言可以与工艺无关编程语言可以与工艺无关编程工艺更新时无须更改原程序工艺更新时无须更改原程序,只要改变相应的映射工具。,只要改变相应的映射工具。(4)VHDL语言标准、规范,易于共享和复用语言标准、规范,易于共享和复用29VHDL设计六进制计数器设计六进制计数器(行为描述行为描述)Library ieee;Use ieee.std_logic_1164.all;Entity counter isPort(clk,rs:in std_logic; count_out :out std_logic_vector(2 downt

24、o 0);End counter;architecture behav of counter issignal next_count:std_logic_vector(2 downto 0); begin count_proc: process(rs,clk) begin if rs=0 then next_countnext_countnext_countnext_countnext_countnext_countnext_countnext_count=”XXX”;end case;end if;count_out=next_count after 10 ns;end process;en

25、d behav;30VHDL设计六进制计数器设计六进制计数器(RTL方式描述方式描述)Library ieee;Use ieee.std_logic_1164.all;Use work.new.all;Entity counter isPort(clk,rs:in std_logic; q1,q2,q3:out std_logic);End counter;Architecture rtl of counter isComponent dffPort(d,rs,clk:in std_logic; q:out std_logic);End component;Component djkPort(

26、j,k,rs,clk:in std_logic; q:out std_logic);End component;Component and2Port(a,b:in std_logic; c:out std_logic);End component;Component nor2Port(a,b:in std_logic; c:out std_logic);End component;Signal jin,kin,q1_out,q2_out,q3_out:std_logic;Begin U1:nor2 port map(q3_out,q2_out,jin);U2:and2 port map(q3_

27、out,q2_out,kin);U3:djk port map(jin,kin,rs,clk,q1_out);U4:dff port map(q1_out,rs,clk,q2_out);U5:dff port map(q2_out,rs,clk,q3_out);q1=q1_out; q2=q2_out; q3=q3_out;End rtl;31作业题作业题11.什什 么么 是是 TOP-DOWN的的 设设 计计 方方 法法 ? 什什 么么 是是BOTTOM-UP的设计方法?各自有什么特点?的设计方法?各自有什么特点?2.什什么么是是硬硬件件描描述述语语言言?用用VHDL语语言言设设计计数数字字系系统有些什么优点?统有些什么优点?3.从从多多个个角角度度比比较较现现代代集集成成电电路路设设计计方方法法和和传传统统方方法。法。4.用中文说明下列名词的含义用中文说明下列名词的含义 ASIC、 CPLD、 EDA、FPGA、 VHDL、VLSI、 PLD、 IC、IP

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 研究生课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号