EDA技术与应用:第2章 EDA工具软件的使用方法

上传人:re****.1 文档编号:568647827 上传时间:2024-07-25 格式:PPT 页数:67 大小:3.94MB
返回 下载 相关 举报
EDA技术与应用:第2章 EDA工具软件的使用方法_第1页
第1页 / 共67页
EDA技术与应用:第2章 EDA工具软件的使用方法_第2页
第2页 / 共67页
EDA技术与应用:第2章 EDA工具软件的使用方法_第3页
第3页 / 共67页
EDA技术与应用:第2章 EDA工具软件的使用方法_第4页
第4页 / 共67页
EDA技术与应用:第2章 EDA工具软件的使用方法_第5页
第5页 / 共67页
点击查看更多>>
资源描述

《EDA技术与应用:第2章 EDA工具软件的使用方法》由会员分享,可在线阅读,更多相关《EDA技术与应用:第2章 EDA工具软件的使用方法(67页珍藏版)》请在金锄头文库上搜索。

1、2024/7/251第第2章章 EDA工具软件的使用方法工具软件的使用方法本章概要:本章概要:本章以本章以Altera公司的公司的Quartus(9.0)为主,介绍为主,介绍EDA工具软件的使用方法,作为工具软件的使用方法,作为EDA设计的基础。通过本设计的基础。通过本章的学习,读者可初步采用章的学习,读者可初步采用QuartusII软件的原理图输入法,软件的原理图输入法,设计数字电路和系统,掌握用试验开发系统或开发板对设计设计数字电路和系统,掌握用试验开发系统或开发板对设计电路进行硬件验证的方法。电路进行硬件验证的方法。知识要点:知识要点:(1)EDA技术的原理图输入设计法。技术的原理图输入

2、设计法。(2)用原理图输入法实现多层次系统电路的设计。)用原理图输入法实现多层次系统电路的设计。(3)Quartus 的宏功能模块的使用方法。的宏功能模块的使用方法。(4)Quartus 嵌入式逻辑分析仪的使用方法。嵌入式逻辑分析仪的使用方法。2024/7/2522.1 2.1 QuartusQuartus 的安装的安装2.2 2.2 QuartusQuartus 软件的主界面软件的主界面 2.32.3 QuartusQuartus 图形编辑输入法图形编辑输入法 2.4 2.4 MAX+PLUS MAX+PLUS 设计项目的转换设计项目的转换 2.5 2.5 QuartusQuartus 宏功

3、能模块的使用方法宏功能模块的使用方法2.6 2.6 嵌入式逻辑分析仪的使用方法嵌入式逻辑分析仪的使用方法 第第2章章 EDA工具软件的使用方法工具软件的使用方法2.1 2.1 QuartusQuartus II II软件的安装软件的安装一、安装软件运行90_quartus_windows.exe设置临时文件夹(用来暂时存放解压缩后的安装文件)选择安装目录选择“complete”或“custom”为节省磁盘空间,可选择为节省磁盘空间,可选择“ “custom”custom”,不用,不用的芯片支持包可不选。的芯片支持包可不选。二、破解软件 解压缩解压缩Altera.QUARTUS.II.v9.0_

4、Crackall.rarAltera.QUARTUS.II.v9.0_Crackall.rar 用用Quartus_II_9.0Quartus_II_9.0破解器破解器.exe.exe破解破解C:altera90quartusbinC:altera90quartusbin下的下的sys_cpt.dllsys_cpt.dll和和quartus.exequartus.exe文件(运行文件(运行Quartus_II_9.0Quartus_II_9.0破解器破解器.exe.exe后后, ,直接点击直接点击“ “应用补丁应用补丁” ”,如果出现,如果出现“ “未找到该文件。搜索该文件吗未找到该文件。搜索

5、该文件吗?” ”,点击,点击“ “是是” ”,然后选中,然后选中sys_cpt.dllsys_cpt.dll,点击,点击“ “打开打开” ”。安装默。安装默认的认的sys_cpt.dllsys_cpt.dll路径是在路径是在C:altera90quartusbinC:altera90quartusbin下)。下)。 把把license.datlicense.dat里的里的XXXXXXXXXXXX XXXXXXXXXXXX 用您老的网卡号替换用您老的网卡号替换 启动启动QuartusQuartus 9.0 9.0,出现如图所示的对话框,选择第三项,出现如图所示的对话框,选择第三项 选择刚破解的选

6、择刚破解的License fileLicense file2.2 2.2 QuartusQuartus II II软件的主界面软件的主界面 QuartusII软件为适应广大软件为适应广大MAX+PLUS 软件应用工软件应用工程人员的需要,提供了程人员的需要,提供了Quartus II和与和与MAX+PLUS 兼容兼容的两种主界面。下面以的两种主界面。下面以Quartus II主界面介绍该软件的使用主界面介绍该软件的使用方法。方法。 Quartus 主界面窗口主界面窗口MAX+PLUS 主界面窗口主界面窗口2024/7/2562.3 2.3 QuartusIIQuartusII的图形编辑输入法的

7、图形编辑输入法 图形编辑输入法也称为原理图输入设计法。图形编辑输入法也称为原理图输入设计法。为了方便电路设计,设计者首先应当在计算机为了方便电路设计,设计者首先应当在计算机中建立自己的工程目录(如中建立自己的工程目录(如d:myeda)。将自己的。将自己的全部全部EDA设计文件放在文件夹中。设计文件放在文件夹中。注意:工程文件夹的名称不要使用汉字,最好注意:工程文件夹的名称不要使用汉字,最好也不要使用数字。也不要使用数字。 在在QuartusQuartus II II平台上,使用图形编辑输入法设计平台上,使用图形编辑输入法设计电路的操作流程包括编辑(设计输入)、编译、仿真电路的操作流程包括编辑

8、(设计输入)、编译、仿真和编程下载等基本过程。用和编程下载等基本过程。用QuartusQuartus II II图形编辑方式图形编辑方式生成的图形文件默认的扩展名为生成的图形文件默认的扩展名为. .bdfbdf。 下面以下面以8 8位加法器位加法器adder8adder8的设计为例,介绍的设计为例,介绍QuartusQuartus II(9.0) II(9.0)软件使用的基本方法。软件使用的基本方法。2024/7/258使用使用Quartus II设计电路系设计电路系统之前,需要先统之前,需要先建立设计项目建立设计项目(Project)。例如,用图形编辑)。例如,用图形编辑法设计法设计8位加法

9、器位加法器adder8时,需要时,需要先建立先建立adder8的设计项目。的设计项目。在在Quartus II集成环境下,执行集成环境下,执行“File”菜单的菜单的“New Project Wizard”命令,弹出如图所示的新命令,弹出如图所示的新建设计项目对话框的建设计项目对话框的“New Project Wizard: Directory,Name,TOP-Level Entuty page 1 of 5”页面页面(新建设计项目对话框共(新建设计项目对话框共5个页面)。个页面)。2.3.1 编辑输入图形设计文件编辑输入图形设计文件填入项目所在的填入项目所在的文件夹名文件夹名填入项目名填入

10、项目名填入顶层设计实填入顶层设计实体名体名设计项目名和顶层文件实体名可设计项目名和顶层文件实体名可以同名,一般在多层次系统设计以同名,一般在多层次系统设计中,以与设计项目同名的设计实中,以与设计项目同名的设计实体作为顶层文件名。体作为顶层文件名。 新建项目对话框的第新建项目对话框的第2页面用于页面用于添加设计文件添加设计文件,包括顶,包括顶层设计文件和其他底层设计文件。层设计文件和其他底层设计文件。 新建项目对话框的第新建项目对话框的第3页面用于页面用于设置编程下载的目标芯设置编程下载的目标芯片的类型与型号片的类型与型号。在编译设计文件前,应先选择下载的目标芯片,在编译设计文件前,应先选择下载

11、的目标芯片,否则系统将以默认的目标芯片为基础完成设计文件的编译。目标芯片选否则系统将以默认的目标芯片为基础完成设计文件的编译。目标芯片选择应根据支持硬件开发和验证的开发板或试验开发系统上提供的可编程择应根据支持硬件开发和验证的开发板或试验开发系统上提供的可编程逻辑器件来决定。我们的实验箱上使用逻辑器件来决定。我们的实验箱上使用ACEX1K系列的系列的EP1K30QC208-3芯片。芯片。2024/7/259 新建设计项目对话框的第新建设计项目对话框的第4页面用于设置第三方页面用于设置第三方EDA工工具软件的使用,一般情况下可以设置为具软件的使用,一般情况下可以设置为“不使用不使用”(在第三(在

12、第三方工具软件选择框不出现方工具软件选择框不出现“”)。)。 新建设计项目对话框的第新建设计项目对话框的第5页面用于显示新建设计项目页面用于显示新建设计项目的摘要,用鼠标点击此页面下方的的摘要,用鼠标点击此页面下方的“Finish”按钮,完成新按钮,完成新设计项目的建立。设计项目的建立。 新建项目对话框(第新建项目对话框(第4页面)页面)新建项目对话框(第新建项目对话框(第5页面)页面)2024/7/25102024/7/2511 新新的的项项目目建建立立后后,在在Quartus II集集成成环环境境下下,执执行行“File”菜菜单单的的“New”命命令令,在在弹弹出出编编辑辑文文件件类类型型

13、对对话话框框中中,选选择择 “Block Diagram/Schematic File ”(模模块块/原原理理图文件)方式,进入图文件)方式,进入Quartus II图形编辑方式的窗口界面。图形编辑方式的窗口界面。 编辑文件类型对话框编辑文件类型对话框2024/7/2512在原理图编辑窗中的任何一个位置上用双击在原理图编辑窗中的任何一个位置上用双击鼠标,弹出一个元件选择窗。鼠标,弹出一个元件选择窗。 元件选择框元件选择框基本逻辑元件库基本逻辑元件库参数可设置的强函数元件库参数可设置的强函数元件库基本逻辑元件库中的元件基本逻辑元件库中的元件由此输入所需要的元件名由此输入所需要的元件名MAX+pl

14、us库库2024/7/2513 在在8位位加加法法器器adder8的的设设计计中中,将将电电路路设设计计需需要要的的2个个4位位加加法法器器74283以以及及输输入入、输输出出元元件件符符号号调调人人图图形形编编辑辑框框中中,根根据据8位位加加法法器器设设计计的的原原理理图图,用用鼠鼠标标完完成成电电路路内内部部的的连连接接以以及及与与输输入入、输输出出元元件件的的连连接接,并并将将相相应应的的输输入入元元件件符符号号名名分分别别更更改改为为“A7.0”和和“B7.0”,把把输输出出元元件件的的名名称称分分更更改改为为“SUM7.0”和和“COUT”,如如图图所所示示。其其中中A7.0和和B7

15、.0是是两两个个8位位加加数数输输入入端端,SUM7.0是是8位位和和数数输输出出端端,COUT是是向向高高位位进进位位输输出出端端。电电路路设设计计完完成成后后,用用“adder8.bdf ”为为文文件件名保存在工程目录中。名保存在工程目录中。 8位加法器的原理图位加法器的原理图2024/7/25142.3.2 编译设计图形文件编译设计图形文件 执行执行Quartus II主窗口主窗口“Processing”菜单的菜单的“Start Compilation”命令,或者在主窗口上直接用鼠标左键点击命令,或者在主窗口上直接用鼠标左键点击“开始编译开始编译”命令按钮,开始对命令按钮,开始对adde

16、r8.bdf文件进行编译。编译文件进行编译。编译工具的编译过程界面如图工具的编译过程界面如图2.25所示,编译过程包括分析与综合、所示,编译过程包括分析与综合、适配、编程和时序分析等适配、编程和时序分析等4个环节。个环节。 Quartus II编译状态窗口编译状态窗口2024/7/25152.3.3 仿仿真设计文件真设计文件1. 建立波形文件建立波形文件 执执行行Quartus II主主窗窗口口“File”菜菜单单的的“New”命命令令,在在弹弹出出编编辑辑文文件件类类型型对对话话框框,选选择择对对话话框框“Other Files”中中的的“Vector Waveform File ”方方式,

17、进入式,进入Quartus II波形编辑方式,弹出新建波形文件编辑窗口界面。波形编辑方式,弹出新建波形文件编辑窗口界面。 新建波形文件编辑窗口界面新建波形文件编辑窗口界面2024/7/25162. 输入信号节点输入信号节点 在波形编辑方式下,执行在波形编辑方式下,执行“Edit”菜单下的菜单下的“Insert Node or Bus”命令,或在波形文件编辑窗口的命令,或在波形文件编辑窗口的“Name”栏中点击鼠标右键,在栏中点击鼠标右键,在弹出的快捷菜单中选择弹出的快捷菜单中选择“Insert Node or Bus”命令,弹出插入节点命令,弹出插入节点或总线(或总线(Insert Node

18、or Bus)对话框,。在)对话框,。在“Insert Node or Bus”对话窗口中首先点击对话窗口中首先点击“Node Finder”键,弹出节点发现者键,弹出节点发现者(Node Finder)对话框。)对话框。 插入信号节点对话框插入信号节点对话框节点发现者对话框节点发现者对话框 在在“Node Finder”对话框的对话框的“Filter”栏目中,用选择栏目中,用选择“Pins:all”项,项,再点击再点击“Start”按钮,这时在窗口左边的按钮,这时在窗口左边的“Nodes Found:”(节点建立)框中将列出该设计项目的全部(节点建立)框中将列出该设计项目的全部信号节点。若在

19、仿真中需要观察全部信号的波形,则点击窗口信号节点。若在仿真中需要观察全部信号的波形,则点击窗口中间的中间的“”按钮;若在仿真中只需要观察部分信号的波形,按钮;若在仿真中只需要观察部分信号的波形,则则首先用鼠标左键将信号名点黑选中首先用鼠标左键将信号名点黑选中,然后点击窗口中间的,然后点击窗口中间的“”按钮,选中的信号即进入到窗口右边的按钮,选中的信号即进入到窗口右边的“Selected Nodes:”(被选择的节点)框中。如果需要删除(被选择的节点)框中。如果需要删除“Selected Nodes:”框中的节点信号,也可以用鼠标将其选中,然后点击框中的节点信号,也可以用鼠标将其选中,然后点击窗

20、口中间的窗口中间的“”按钮。节点信号选择完毕后,点击按钮。节点信号选择完毕后,点击“OK”按按钮。钮。2024/7/25173. 设置波形参量设置波形参量 Quartus II默认的仿真时间域是默认的仿真时间域是1 s,如果需要更长时,如果需要更长时间观察仿真结果,可执行间观察仿真结果,可执行“Edit”命令菜单中的命令菜单中的“End Time”选项,在弹出的如图所示的选项,在弹出的如图所示的“End Time”(设置仿(设置仿真时间域)对话框中,输入适当的仿真时间域(如真时间域)对话框中,输入适当的仿真时间域(如10 s),),后点击后点击“OK”按钮完成设置。按钮完成设置。设置仿真时间域

21、对话框设置仿真时间域对话框2024/7/25184. 编辑输入信号编辑输入信号 为输入信号编辑测试电平或数据的示意图如图所示。仿为输入信号编辑测试电平或数据的示意图如图所示。仿真编辑窗口的左侧各种功能选择按钮的主要功能及使用方法如真编辑窗口的左侧各种功能选择按钮的主要功能及使用方法如下:下: 为输入信号编辑测试电平或数据示意图为输入信号编辑测试电平或数据示意图放大放大/缩小工具缩小工具未初始化未初始化(U)强未知强未知(X)0电平(强电平(强0)1电平(强电平(强1)高阻(高阻(Z)弱弱0(L)弱弱1(H)计数值计数值任意值任意值随机随机值值时钟时钟弱未知弱未知(W)无关(无关(DC)反相反相

22、选择工具选择工具文本工具文本工具编辑工具编辑工具全屏显示全屏显示发现发现替换替换2024/7/25195. 波形文件存盘波形文件存盘 执行执行“File”选项的选项的“Save”命令,完成波形文件的存盘。命令,完成波形文件的存盘。6. 运行仿真器运行仿真器 执行执行“Processing”中的中的“Start Simulation”命令,对设命令,对设计电路进行仿真。计电路进行仿真。 8位加法器的仿真波形位加法器的仿真波形2024/7/25202.3.4 编程下载设计文件编程下载设计文件 编程下载是指将设计处理中产生的编程数据文件通过编程下载是指将设计处理中产生的编程数据文件通过EDA软件放到

23、具体的可编程逻辑器件中去的过程。对软件放到具体的可编程逻辑器件中去的过程。对CPLD器件来说是将器件来说是将JED文件下载(文件下载(Down Load)到)到CPLD器件中器件中去,对去,对FPGA来说是将位流数据来说是将位流数据BG文件配置到文件配置到FPGA中去。中去。编程下载需要可编程逻辑器件的开发板或试验开发系统支持。编程下载需要可编程逻辑器件的开发板或试验开发系统支持。1引脚锁定引脚锁定 引脚锁定就是根据目标芯片的引脚分布规则,确定设计引脚锁定就是根据目标芯片的引脚分布规则,确定设计电路的输入和输出端口与目标芯片的连接关系,即把设计电电路的输入和输出端口与目标芯片的连接关系,即把设

24、计电路的路的哪一条哪一条输入输入/输出端口,连接到目标芯片的哪一只引脚输出端口,连接到目标芯片的哪一只引脚(Pin)上。执行)上。执行“Assignments”菜单的菜单的“Pins”命令。命令。2024/7/2521赋值编辑对话框赋值编辑对话框3. 下载设计文件下载设计文件 在在Quartus II软件界面上选择软件界面上选择“Tools”的编程器的编程器“Programmer”命令或者用鼠标左键直接点击命令或者用鼠标左键直接点击“Programmer”命令按钮,弹出设置编程方式窗口。命令按钮,弹出设置编程方式窗口。 设置编程方式窗口设置编程方式窗口2024/7/25232. 再次编译再次编

25、译 下载设计文件之前需要设定编程方式。在设置编程方式下载设计文件之前需要设定编程方式。在设置编程方式窗口中,用鼠标左键点击窗口中,用鼠标左键点击“Hardware”(硬件设置)按钮,(硬件设置)按钮,在弹出在弹出“Hardware Setup”硬件设置对话框中点击硬件设置对话框中点击“Add Hardware”按钮,在弹出的添加硬件对话框中选择按钮,在弹出的添加硬件对话框中选择“ByteBlasterMVLP1”编程方式。编程方式。ByteBlasterMVLP1编编程方式对应计算机的并行口编程下载通道,程方式对应计算机的并行口编程下载通道,“MV”是混合电是混合电压的意思,主要指对压的意思,

26、主要指对ALTERA的各类芯片电压的的各类芯片电压的FPGA/CPLD都能由此编程下载。都能由此编程下载。 硬件设置完成后,在返回到的设置编程方式窗口中,在硬件设置完成后,在返回到的设置编程方式窗口中,在“Mode:”栏目保持原有的栏目保持原有的“JTAG”模式,该模式是国际标准模式,该模式是国际标准下载模式。下载模式。“Passive Serial”模式适用于模式适用于Altera公司的早期生公司的早期生产的产的PLD芯片(如芯片(如FLEX10K10),则使用该模式。),则使用该模式。 完成上述操作后,执行完成上述操作后,执行“Processing”的的“Stare”命令,命令,或者用鼠标

27、左键点击设置编程方式窗口左边开始编程按钮或者用鼠标左键点击设置编程方式窗口左边开始编程按钮“Start”,实现设计电路到目标芯片的编程下载。,实现设计电路到目标芯片的编程下载。2024/7/25244. 硬件验证设计电路硬件验证设计电路 用并行下载电缆将实验开发系统的用并行下载电缆将实验开发系统的FPGA/CPLD编程下载编程下载接口接口“JTAG”与计算机的并行打印机接口连接好,与计算机的并行打印机接口连接好, 在实验开发系统上验证设计的正确性。在实验开发系统上验证设计的正确性。 2024/7/25252.4 MAX+PLUS 设计项目的转换 Quartus II软件可以将软件可以将MAX+

28、PLUS 设计的项目转换到设计的项目转换到Quartus II环境下执行,执行环境下执行,执行Quartus II主窗口主窗口“File”菜单的菜单的“Convert MAX+PLUS Project”命令,完成命令,完成MAX+PLUS 设计文件到的设计文件到的Quartus II设计项目的转换,并建立设计项目的转换,并建立Quartus II设计项目名称(如设计项目名称(如cont10)。)。 “Convert MAX+PLUS Project”对话框对话框2024/7/25262.5 Quartus II宏功能模块的使用方法 使用使用Quartus II 的的MegeWizard Plu

29、g-In Manager中的中的宏功能模块可以帮助用户完成一些复杂系统的设计,并可以宏功能模块可以帮助用户完成一些复杂系统的设计,并可以方便地对现有的设计文件进行修改。这些宏功能模块包括方便地对现有的设计文件进行修改。这些宏功能模块包括LPM(Library Parameterized Megafunction)、)、MegaCore(例如(例如FFT、FIR等)和等)和AMMP(Altera Megafunction Partners Program,例如,例如PCI、DDS等)。等)。下面以波形发生器的设计为例,介绍下面以波形发生器的设计为例,介绍Quartus II宏功能模块的宏功能模块

30、的使用方法。使用方法。 2024/7/25272.5.1 设计原理设计原理 在波形发生器的原理图中,在波形发生器的原理图中,lpm_counter0是是LPM计数器,计数器,lpm_rom0是是LPM只读存储器(只读存储器(ROM)。)。ROM中保存的是某中保存的是某种波形信号(如锯齿波或正弦波)的数据,其地址由计数器种波形信号(如锯齿波或正弦波)的数据,其地址由计数器lpm_counter0提供。提供。lpm_counter0是一个是一个8位加法计数器,位加法计数器,在时钟的控制下计数器的输出在时钟的控制下计数器的输出q7.0由由00000000到到11111111循环变化,使循环变化,使R

31、OM输出周期性的波形信号的数据。输出周期性的波形信号的数据。 波形发生器的原理图波形发生器的原理图2024/7/25282.5.2 编辑输入顶层设计文件编辑输入顶层设计文件 首先为波形发生器建立新的设计项目首先为波形发生器建立新的设计项目“mydds”,并选择,并选择Cyclone系列的系列的EP1C6Q240C8作为设计项目的下载目标芯片。作为设计项目的下载目标芯片。然后执行然后执行“File”菜单的菜单的“New”命令,打开一个新的命令,打开一个新的“Block Diagram/Schematic File ”编辑窗口。编辑窗口。 1. 加入计数器元件加入计数器元件 用鼠标双击原理图编辑窗

32、,用鼠标双击原理图编辑窗,在弹出的元件选择窗的在弹出的元件选择窗的“Libraries”栏目中选择栏目中选择“arithmetic”的的“lpm_counter”(计数器)(计数器)LPM元件。元件。LPM是参数化的多是参数化的多功能库元件,每一种功能库元件,每一种LPM元件元件都具有许多端口和参数,通过都具有许多端口和参数,通过对端口的选择与参数的设置得对端口的选择与参数的设置得到设计需要的元件。到设计需要的元件。pm_counter元件选择窗元件选择窗2024/7/2529 计数器元件选定后用鼠标点击计数器元件选定后用鼠标点击“OK”按钮,弹出按钮,弹出“MegaWizard Plug-I

33、n Managerpage 2c”对话框页面。在该对话框页面。在该对话框页面中,选择对话框页面中,选择VHDL(或(或Verilog HDL)作为输出文件)作为输出文件的类型,并将生成的计数器名称及保存的文件夹输入到的类型,并将生成的计数器名称及保存的文件夹输入到“What name do you want for the output file ?”栏目中。栏目中。MegaWizard Plug-In Managerpage 2c对话框页面对话框页面2024/7/2530 完成上述操作后,点击完成上述操作后,点击“Next”按钮,进入计数器参按钮,进入计数器参数设置的下一个对话框页面数设置的

34、下一个对话框页面“MegaWizard Plug-In Manager LPM_COUNTERpage 1 of 4”。在此页面中。在此页面中设置计数器的设置计数器的q输出位数为输出位数为8bit,时钟输入,时钟输入clock的有效边的有效边沿为沿为“Up only”(上升沿有效)。时钟边沿也可以选择(上升沿有效)。时钟边沿也可以选择“Down only”(下降沿有效)或(下降沿有效)或“Updown”(双边沿有效)。(双边沿有效)。LPM_COUNTERpage 1 of 4”对话框页面对话框页面2024/7/2531 完成计数器此页的参数设置后点击完成计数器此页的参数设置后点击“Next”

35、按钮,进入按钮,进入计数器参数设置的计数器参数设置的“MegaWizard Plug-In Manager LPM_COUNTERpage 2 of 4”对话框页面。在此对话框页面对话框页面。在此对话框页面中,选择计数器的类型为中,选择计数器的类型为“Plain binary”(二进制)。计数器(二进制)。计数器的类型除了二进制外,还可以选择任意模值,如的类型除了二进制外,还可以选择任意模值,如5、10、60等。等。另外,计数器还可以增加一些输入或输出端口,如另外,计数器还可以增加一些输入或输出端口,如“Clock Enable”(时钟使能)、(时钟使能)、“Cary-in”(进位输入)、(进

36、位输入)、“Count Enable”(计数器使能)和(计数器使能)和“Cary-out”(进位输出)。(进位输出)。 LPM_COUNTERpage 2 of 4”对话框页面对话框页面2024/7/2532 完成计数器此页的参数设置后点击完成计数器此页的参数设置后点击“Next”按钮,进入按钮,进入计数器参数设置的计数器参数设置的“MegaWizard Plug-In Manager LPM_COUNTERpage 3 of 4”对话框页面。此页面用于为对话框页面。此页面用于为计数器添加同步或异步输入控制端,如计数器添加同步或异步输入控制端,如“Clear”(清除)、(清除)、“Load”(

37、预置)等。(预置)等。LPM_COUNTERpage 3 of 4”对话框页面对话框页面2024/7/2533 点击点击“Next”按钮,进入计数器参数设置的按钮,进入计数器参数设置的“MegaWizard Plug-In Manager LPM_COUNTERpage 4 of 4”对话框页面,这是计数器参数设置的最后一个页面,对话框页面,这是计数器参数设置的最后一个页面,主要用于选择生成计数器的输出文件,如主要用于选择生成计数器的输出文件,如VHDL的文本文件的文本文件“lpm_rom0.vhd”、图形符号文件、图形符号文件“lpm_rom0.bsf”等。至等。至此,计数器参数设置完成,用

38、鼠标左键点击点击此,计数器参数设置完成,用鼠标左键点击点击“Finish”按钮结束设置。按钮结束设置。LPM_COUNTERpage 4 of 4”对话框页面对话框页面2024/7/25342. 建立存储器初值设定文件建立存储器初值设定文件 为了将数据装入为了将数据装入ROM中,在加入并设置中,在加入并设置ROM之前,应之前,应首先建立一个存储器初值设定文件(或称为首先建立一个存储器初值设定文件(或称为.mif格式文件)。格式文件)。 在在Quartus II集成环境下,执行集成环境下,执行“File”菜单的菜单的“New”命令,打开一个新的命令,打开一个新的“Memory initializ

39、ation file”(存(存储器初值设定文件)编辑窗口,在弹出的存储器参数设置对储器初值设定文件)编辑窗口,在弹出的存储器参数设置对话框中输入存储器的字数(话框中输入存储器的字数(Number of words)为)为256,字,字长(长(Word size)为)为8位。位。存储器参数设置对话框存储器参数设置对话框2024/7/2535 存储器的参数设置结束后点击存储器的参数设置结束后点击“OK”按钮,弹出存按钮,弹出存储器初值设定文件的界面,将此文件以储器初值设定文件的界面,将此文件以.mif为类型属性(如为类型属性(如mydds.mif)保存在工程目录中。在存储器初值设定文件的)保存在工

40、程目录中。在存储器初值设定文件的界面中,执行界面中,执行Address Radix项则可对存储器的地址基数进项则可对存储器的地址基数进行选择,地址有行选择,地址有Binary、Decimal、Octal和和Hexadecimal等等4种基数数制选择。执行种基数数制选择。执行Memory Radix项则可对存储器单元项则可对存储器单元中的数据基数进行设置,存储器数据有中的数据基数进行设置,存储器数据有Binary、Hexadecimal、Octal、Signed Decimal和和Unsigned Decimal等等5种基数选择。种基数选择。 存储器初值设定文件的界面存储器初值设定文件的界面20

41、24/7/2536 将数据加入存储器初值设定文件中。新建的存储器初将数据加入存储器初值设定文件中。新建的存储器初值设定文件中的数据全部为值设定文件中的数据全部为0,在存储器初值设定文件的界面,在存储器初值设定文件的界面可以直接输入每个存储器字的数据,也可以用鼠标点击文件可以直接输入每个存储器字的数据,也可以用鼠标点击文件界面,在弹出的格式文件操作快捷菜单提示下,完成数据输界面,在弹出的格式文件操作快捷菜单提示下,完成数据输入。入。 “Custom Fill Cells”对话框对话框格式文件操作快捷菜单格式文件操作快捷菜单2024/7/2537 例如,在弹出的格式文件操作快捷菜单中选择例如,在弹

42、出的格式文件操作快捷菜单中选择“Custom Fill Cells”(块填充)项,在弹出(块填充)项,在弹出“Custom Fill Cells”对话框对话框的的“Starting address”栏目内输入起始地址(如栏目内输入起始地址(如00),在),在“Ending address”栏目内输入结束地址(如栏目内输入结束地址(如ff);将);将“Incrementing/Decrementing”选中后,在选中后,在“Starting Value”栏目中输入起始值(如栏目中输入起始值(如0),在),在“Increment by”(或(或Decrement by)栏目中输入增加(或减少)值(

43、如)栏目中输入增加(或减少)值(如2)。完)。完成上述操作后点击成上述操作后点击“OK”按钮,结束按钮,结束.mif格式文件中的数据格式文件中的数据填充。数据填充的结果为:从填充。数据填充的结果为:从00地址开始到地址开始到ff地址结束,数地址结束,数据由据由00值开始存储于值开始存储于00地址单元,并将此值递增地址单元,并将此值递增2后填入下后填入下一个存储单元;当递增的值大于一个存储单元;当递增的值大于8位二进制数的最大值(即位二进制数的最大值(即255)后,数据又从)后,数据又从00值开始重新填写,直至结束地址为止。值开始重新填写,直至结束地址为止。用上述方法产生的存储器初始数据实际是一

44、个锯齿波发生器用上述方法产生的存储器初始数据实际是一个锯齿波发生器的数据。的数据。 2024/7/25383. 加入只读存储器加入只读存储器ROM元件元件 用鼠标双击原理图编辑窗,在弹出的元件选择窗的用鼠标双击原理图编辑窗,在弹出的元件选择窗的“Libraries”栏目中选择栏目中选择“storage”的的“lpm_rom”(只(只读存储器读存储器ROM)LPM元件。用鼠标点击元件。用鼠标点击“OK”按钮后弹出按钮后弹出“MegaWizard Plug-In Managerpage 2c”对话框页面。在对话框页面。在该对话框页面中,选择该对话框页面中,选择VHDL(或(或Verilog HDL

45、)作为输出)作为输出文件的类型,并将生成的只读存储器名称及保存的文件夹)文件的类型,并将生成的只读存储器名称及保存的文件夹)输入到输入到“What name do you want for the output file ?”栏目中。栏目中。 MegaWizard Plug-In Managerpage 2c对话框对话框2024/7/2539 完成上述操作后,点击完成上述操作后,点击“Next”按钮,进入按钮,进入ROM参数设参数设置的下一个对话框页面置的下一个对话框页面“MegaWizard Plug-In Manager LPM_ROMpage 1 of 4”。在此页面中设置。在此页面中设

46、置ROM的的q输出位输出位数为数为8bit,字数为,字数为256,采用单时钟控制方式。,采用单时钟控制方式。LPM_ROMpage 1 of 4对话框页面对话框页面2024/7/2540 完成页的参数设置后点击完成页的参数设置后点击“Next”按钮,进入按钮,进入ROM参数参数设置的设置的“MegaWizard Plug-In Manager LPM_ROMpage 2 of 4”对话框页面。此对话框页面用于选择对话框页面。此对话框页面用于选择ROM的的clken(时(时钟使能)和钟使能)和aclr(清除)输入控制端(本例设计不选择)。(清除)输入控制端(本例设计不选择)。 LPM_ROMpa

47、ge 2 of 4对话框页面对话框页面2024/7/2541 完成此页的参数设置后点击完成此页的参数设置后点击“Next”按钮,进入按钮,进入ROM参参数设置的数设置的“MegaWizard Plug-In Manager LPM_ROMpage 3 of 4”对话框页面。在此页面的对话框页面。在此页面的“Do you want to”栏目中栏目中选中选中“Yes,use this file for the memory content data”项,项,并输入初始化数据文件名(如并输入初始化数据文件名(如mydds.mif)。另外,将)。另外,将“Alow In-System Memory

48、”项选中,表示允许项选中,表示允许Quartus II能通过能通过JTAG口对下载于口对下载于FPGA中的中的ROM进行在系统测试和读进行在系统测试和读写。写。 LPM_ROMpage 3 of 4对话框页面对话框页面2024/7/2542 完成此页的参数设置后点击完成此页的参数设置后点击“Next”按钮,进入按钮,进入ROM参参数设置的数设置的“MegaWizard Plug-In Manager LPM_ROMpage 4 of 4”对话框页面。这是对话框页面。这是ROM参数设置的最后一个页面,此参数设置的最后一个页面,此页面主要用于选择生成页面主要用于选择生成ROM的输出文件。至此,的输

49、出文件。至此,ROM参数设参数设置完成,用鼠标左键点击置完成,用鼠标左键点击“Finish”按钮结束设置。按钮结束设置。4. 编辑和编译顶层设计文件编辑和编译顶层设计文件 在新建的图形编辑窗口中加入计数器在新建的图形编辑窗口中加入计数器lpm_couter0和和只读存储器只读存储器lpm_rom0元件后,再加入设计电路的输入和元件后,再加入设计电路的输入和输出元件,按照波形发生器原理图完成电路中的连线。以输出元件,按照波形发生器原理图完成电路中的连线。以“mydds.bdf”作为顶层文件名将设计文件保存于工程目作为顶层文件名将设计文件保存于工程目录中,并通过录中,并通过Quartus II的编

50、译。的编译。2024/7/25432.5.3 仿真顶层设计文件仿真顶层设计文件 为波形发生器设计建立仿真文件,然后执行为波形发生器设计建立仿真文件,然后执行“Processing”中的中的“Start Simulation”命令,或点击命令,或点击“Start Simulation”按钮,对波形发生器设计电路进行仿真,按钮,对波形发生器设计电路进行仿真,仿真波形输出的数据就是在存储器初值设定文件中加入的仿真波形输出的数据就是在存储器初值设定文件中加入的(锯齿波发生器)数据。(锯齿波发生器)数据。波形发生器的仿真结果波形发生器的仿真结果2024/7/25442.5.5 图形文件的转换图形文件的转

51、换 为了使利用为了使利用Quartus II宏功能模块设计的电路能在其他宏功能模块设计的电路能在其他软件平台运行和验证,可将其转换为软件平台运行和验证,可将其转换为HDL文件。执行文件。执行Quartus II主窗口主窗口“File”菜单的菜单的“Create/Update”项的项的“Create HDL Design File for Current File”命令,弹出产命令,弹出产生生HDL文件对话框,选择产生文件对话框,选择产生VHDL或或Verilog HDL类型文类型文件。件。HDL文件类型确定后,用鼠标左键点击文件类型确定后,用鼠标左键点击“OK”按钮,即按钮,即可为当前的设计产

52、生可为当前的设计产生VHDL文件或文件或Verilog HDL文件。文件。 2024/7/2545补充补充1:半加器的设计:半加器的设计1.1.新建工程新建工程(1)“File”“New Project Wizard”项目所在的文件夹名项目所在的文件夹名需事先在需事先在E盘建好文件夹盘建好文件夹填入工程(项目)名填入工程(项目)名填入顶层设计实体名填入顶层设计实体名 (2 2)一直点)一直点“ “Next”Next”,直到出现下图,按图所示选,直到出现下图,按图所示选择芯片系列和型号择芯片系列和型号2. 编辑半加器原理图文件(1)新建原理图文件:)新建原理图文件:“File”“New”,选择选

53、择 “Block Diagram/Schematic File ” (2 2)编辑原理图)编辑原理图 选择元件:双击原理图编辑窗口空白处,选中如图所选择元件:双击原理图编辑窗口空白处,选中如图所示的二输入端与门、异或门、输入端口和输出端口示的二输入端与门、异或门、输入端口和输出端口 连线、命名输出输出端口连线、命名输出输出端口( (双击端口双击端口) ) 保存文件(用默认文件名,勿修改)保存文件(用默认文件名,勿修改)3.3.编译设计文件编译设计文件4.4.仿真设计文件仿真设计文件 (1 1)建立波形文件:)建立波形文件: “File”“New” (2 2)添加仿真节点:)添加仿真节点: “E

54、dit”“Insert Node or Bus” (3 3)编辑输入信号波)编辑输入信号波形形端口端口a填填400,端口,端口b填填200 (3 3)编辑输入信号波形)编辑输入信号波形 (4 4)仿真)仿真 (5 5)分析仿真结果是否符合设计要求)分析仿真结果是否符合设计要求 (6 6)将波形文件存盘)将波形文件存盘5.5.引脚锁定引脚锁定“Assignments”菜单的菜单的“Pins” 选择选择革新革新EDAPRO/240H实验仪实验仪(实验结构图实验结构图见实见实验讲义验讲义),用开关,用开关“SW1”和和“SW2”分别作为分别作为A、B输输入开关入开关 (查引脚定义对照表查引脚定义对照

55、表 ,对应芯片,对应芯片EP1K30QC208-3引脚为引脚为39和和40)。 用用“L2”和和“L1”作为设计电路的进位作为设计电路的进位CO与和与和SO输出显示输出显示 接接LED_IO2和和LED_IO1 (查引脚定义对照表查引脚定义对照表 ,对应芯片,对应芯片EP1K30QC208-3引脚为引脚为13和和12)。6.重新编译、仿真(用原来的波形文件仿真)(操作略)(操作略)7.7.编程下载编程下载“Tools”的编程器的编程器“Programmer”若要生成元件符号:返回原理图编辑窗口,执若要生成元件符号:返回原理图编辑窗口,执行如图所示操作行如图所示操作补充补充1:原理图输入法的层次

56、化设计原理图输入法的层次化设计 层层次次化化设设计计先先从从底底层层的的电电路路设设计计开开始始,然然后后在在高高层层次次的的设设计计中中逐逐级级调调用用低低层层次次的的设设计计结结果果,直直至至最最后后系系统统电电路路的的实实现现。对对于于每每个个层层次次的的设设计计结结果果,都都经经过过严格的仿真验证,尽量减少系统设计中的错误。严格的仿真验证,尽量减少系统设计中的错误。1. 全加器的全加器的EDA原理图输入设计原理图输入设计 1位全加器的原理图位全加器的原理图全加器元件符号全加器元件符号f_adder.gdfh_adder.gdf顶层设计文件顶层设计文件底层设计文件底层设计文件全加器全加器 f_adder.gdf层次结构图层次结构图2. 4位加法器的设计位加法器的设计4位加法器由位加法器由4个个1位全加器构成,它的底层位全加器构成,它的底层设计文件是设计文件是1位全加器。位全加器。4位加法器原理图位加法器原理图

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 其它相关文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号