s12单片机功能模块

上传人:大米 文档编号:568616665 上传时间:2024-07-25 格式:PDF 页数:96 大小:1.63MB
返回 下载 相关 举报
s12单片机功能模块_第1页
第1页 / 共96页
s12单片机功能模块_第2页
第2页 / 共96页
s12单片机功能模块_第3页
第3页 / 共96页
s12单片机功能模块_第4页
第4页 / 共96页
s12单片机功能模块_第5页
第5页 / 共96页
点击查看更多>>
资源描述

《s12单片机功能模块》由会员分享,可在线阅读,更多相关《s12单片机功能模块(96页珍藏版)》请在金锄头文库上搜索。

1、第六讲第六讲第六讲第六讲 MC9S12MC9S12MC9S12MC9S12MC9S12MC9S12MC9S12MC9S12单片机单片机单片机单片机I/OI/OI/OI/OI/OI/OI/OI/O接口和功能模块接口和功能模块接口和功能模块接口和功能模块本讲内容简介本讲内容简介本讲内容简介本讲内容简介 1 1、通用、通用I/OI/O接口模块接口模块 2 2、模数转换、模数转换A/DA/D模块模块 3 3、脉冲宽度调制、脉冲宽度调制PWMPWM模块模块 4 4、增强型定时器、增强型定时器ECTECT模块模块 5 5、同步外设接口、同步外设接口SPISPI模块模块 6 6、串行通信接口、串行通信接口S

2、CISCI模块模块每个模块对应的技术文档每个模块对应的技术文档每个模块对应的技术文档每个模块对应的技术文档I/O口模块S12MEBIV3.PDF S12DTB128PIMV2.PDF串行通信模块S12SCIV2.PDF S12SPIV4.PDF S12IICV2.PDFECT 模块S12ECT16B8CV1.PDFA/D模块S12ATD10B8CV2.PDFPWM模块S12PWM8B8CV1.PDFMSCAN模块S12MSCANV2.PDF1 1 1 1 1 1 1 1、通用、通用、通用、通用I/OI/OI/OI/OI/OI/OI/OI/O接口接口接口接口 MC9S12DG128BMC9S12

3、DG128B单片机单片机I/OI/O口口 PORTAPORTA连接按键连接按键 PORTB PORTB 连接发光二极管连接发光二极管 PORTE PORTE 特殊功能特殊功能 PORTJ PORTJ 中断输入,没有中断输入,没有PJ0-PJ5PJ0-PJ5 PORTP PORTP 中断输入,没有中断输入,没有PP6PP6 PORTMPORTM没有没有PM6-PM7PM6-PM7 PORTTPORTT PORTSPORTS没有没有PS4-PS7PS4-PS7 PORTADPORTAD只能输入只能输入1 1 1 1 1 1 1 1、通用、通用、通用、通用I/OI/OI/OI/OI/OI/OI/OI

4、/O接口接口接口接口 I/OI/O口作为输入使用口作为输入使用 设置方向寄存器(设置方向寄存器(DDRxDDRx)为输入()为输入(0X000X00) 随时读取随时读取I/OI/O口的数据寄存器(口的数据寄存器(PORTxPORTx) I/OI/O口作为输出使用口作为输出使用 设置方向寄存器(设置方向寄存器(DDRxDDRx)为输出()为输出(0XFF0XFF) 设置驱动能力寄存器(非必须)设置驱动能力寄存器(非必须) 拉电阻选择(非必须)拉电阻选择(非必须) 随时写入随时写入I/OI/O口数据寄存器(口数据寄存器(PORTxPORTx)1 1 1 1 1 1 1 1、通用、通用、通用、通用I

5、/OI/OI/OI/OI/OI/OI/OI/O接口接口接口接口 I/OI/O口作为外部中断接收使用口作为外部中断接收使用 开系统中断(开系统中断(CLICLI) 设置方向寄存器为输入设置方向寄存器为输入 设置中断有效边沿(上升沿、下降沿)设置中断有效边沿(上升沿、下降沿) 使能中断使能中断 编写相关的中断服务程序编写相关的中断服务程序 有效边沿到来时,会自动进入中断服务程序有效边沿到来时,会自动进入中断服务程序1 1 1 1 1 1 1 1、通用、通用、通用、通用I/OI/OI/OI/OI/OI/OI/OI/O接口接口接口接口 例一例一 设计一个设计一个C C语言程序,使教学板上发光二极管语言

6、程序,使教学板上发光二极管 显示花等效果显示花等效果 显示循环流水灯效果显示循环流水灯效果 见见见见exp12exp12exp12exp12exp12exp12exp12exp121 1 1 1 1 1 1 1、通用、通用、通用、通用I/OI/OI/OI/OI/OI/OI/OI/O接口接口接口接口 例二例二使用PORTJ中断口功能的例子,本例的原理是:设置A口为输出口,PTA的第6脚跟PTJ的第6脚硬件相连,PTB输出,用来控制8个LED灯,PTJ开中断,并且设置为上升沿触发。首先PTA初始化为0,PTB为0xFF,此时等灭。在大循环冲设置PTA第6位为高电平,产生中断,灯低4个亮,高4个不亮

7、。使用单步运行查看效果。 见见见见exp13exp13exp13exp13exp13exp13exp13exp13。2 2 2 2 2 2 2 2、模数转换、模数转换、模数转换、模数转换ATDATDATDATDATDATDATDATD模块模块模块模块 A/DA/D转换的基本概念转换的基本概念 模数转换定义:模数转换定义:将时间连续、幅值也连续的模拟信号转换为间离散、将时间连续、幅值也连续的模拟信号转换为间离散、幅值也离散的数字信号幅值也离散的数字信号 模数转换精度模数转换精度模数转换精度是指二进制的位数。模数转换精度是指二进制的位数。9S129S12的的ADAD模块有两模块有两种精度可选,分别

8、为种精度可选,分别为8 8位精度(位精度(0 0255255)和)和1010位精度位精度(0 010231023)。)。 逐次逼近型逐次逼近型A/DA/D转换器的原理转换器的原理逐次逼近型逐次逼近型A/D A/D 由一个比较器和由一个比较器和D/A D/A 转换器通过逐次转换器通过逐次比较逻辑构成,从比较逻辑构成,从MSB MSB 开始,顺序地对每一位将输入开始,顺序地对每一位将输入电压与内置电压与内置D/A D/A 转换器输出进行比较,经转换器输出进行比较,经n n 次比较而输次比较而输出数字值。其电路规模属于中等。出数字值。其电路规模属于中等。9S129S129S129S129S129S1

9、29S129S12的的的的A/DA/DA/DA/DA/DA/DA/DA/D模块主要特性模块主要特性模块主要特性模块主要特性 8 8位位/10/10位可选择的转换精度;位可选择的转换精度; 速度快,每进行一次速度快,每进行一次1010位的转换,仅位的转换,仅仅需要仅需要7nS7nS; 采样时间可编程;采样时间可编程; 左对齐左对齐/ /右对齐的数据格式,有符号右对齐的数据格式,有符号/ /无符号的转换结果;无符号的转换结果; 转换完毕可产生中断;转换完毕可产生中断; 使用使用PAD7PAD7外部触发控制。外部触发控制。9S129S129S129S129S129S129S129S12的的的的A/D

10、A/DA/DA/DA/DA/DA/DA/D模块外部引脚模块外部引脚模块外部引脚模块外部引脚 VRHVRHVRHVRHVRHVRHVRHVRH(6060606060606060)、)、)、)、VRLVRLVRLVRLVRLVRLVRLVRL(6161616161616161):A/D A/D 转换模块的参考转换模块的参考高电压和参考低电压。实验板上,高电压和参考低电压。实验板上,VRHVRH和和VRLVRL分分别接别接VCCVCC和和GNDGND。 VDDAVDDAVDDAVDDAVDDAVDDAVDDAVDDA(5959595959595959)、)、)、)、VSSAVSSAVSSAVSSAV

11、SSAVSSAVSSAVSSA(6262626262626262):A/D A/D 模块提供电源。模块提供电源。实验板上,实验板上,VDDAVDDA接到接到VCCVCC,VSSAVSSA接到接到GNDGND。 AN7/ETRIG/PAD7AN7/ETRIG/PAD7AN7/ETRIG/PAD7AN7/ETRIG/PAD7AN7/ETRIG/PAD7AN7/ETRIG/PAD7AN7/ETRIG/PAD7AN7/ETRIG/PAD7(5858585858585858):模拟量输入通道:模拟量输入通道7 7,通用数字输入端口。它也可以被配置为通用数字输入端口。它也可以被配置为A/D A/D 转换转

12、换的外部触发引脚。的外部触发引脚。 AN6/PAD6 - AN0/PAD0AN6/PAD6 - AN0/PAD0AN6/PAD6 - AN0/PAD0AN6/PAD6 - AN0/PAD0AN6/PAD6 - AN0/PAD0AN6/PAD6 - AN0/PAD0AN6/PAD6 - AN0/PAD0AN6/PAD6 - AN0/PAD0(51-5751-5751-5751-5751-5751-5751-5751-57):模拟量输入:模拟量输入通道通道6-06-0,通用数字输入端口。不可以被用作外部,通用数字输入端口。不可以被用作外部触发引脚。触发引脚。PAD0PAD0通过通过50K50K滑动

13、变阻器接到滑动变阻器接到VCCVCC,调可变电阻可以调试调可变电阻可以调试A/DA/D转换。转换。控制寄存器控制寄存器控制寄存器控制寄存器2 2 2 2 2 2 2 2ATDCTL2ATDCTL2ATDCTL2ATDCTL2ATDCTL2ATDCTL2ATDCTL2ATDCTL2 ADPUADPU:A/DA/D模块的电源管理。模块的电源管理。1=1=正常模式,正常模式,0=0=低功耗低功耗模式。模式。 AFFCAFFC:标志位快速清零。:标志位快速清零。1=1=对转换结果寄存器访问会对转换结果寄存器访问会自动清除标志位,自动清除标志位,0=0=正常模式,访问结果寄存器前读状正常模式,访问结果寄

14、存器前读状态寄存器态寄存器1 1可以清楚转换完成标志可以清楚转换完成标志CCFCCF。 AWAIAWAI:等待时进入低功耗模式。:等待时进入低功耗模式。1=1=进入,进入,0=0=正常工作。正常工作。 ETRIGLEETRIGLE、ETRIGPETRIGP:外部触发的边沿:外部触发的边沿/ /极性控制。极性控制。 ETRIGEETRIGE:上两位的使能位。允许在:上两位的使能位。允许在PAD7PAD7输入外部触发输入外部触发信号。信号。1=1=允许,允许,0=0=禁止。禁止。 ASCIEASCIE:A/DA/D队列转换完成中断允许。队列转换完成中断允许。1=1=允许,并使标允许,并使标志位志位

15、ASCIF=1ASCIF=1;0=0=禁止。禁止。 ASCIFASCIF:A/D A/D 队列转换完成中断标志。队列转换完成中断标志。控制寄存器控制寄存器控制寄存器控制寄存器3 3 3 3 3 3 3 3ATDCTL3ATDCTL3ATDCTL3ATDCTL3ATDCTL3ATDCTL3ATDCTL3ATDCTL3 S8CS8C、S4CS4C、S2CS2C、S1CS1C:定义转换队列的长:定义转换队列的长度。默认长度为度。默认长度为4 4。 FIFOFIFO:结果寄存器先进先出模式。:结果寄存器先进先出模式。1=FIFO1=FIFO模式,转换结果是连续存放的;模式,转换结果是连续存放的;0=0

16、=非非FIFOFIFO模式,转换结果放在对应的寄存器中。模式,转换结果放在对应的寄存器中。 FRZ1FRZ1、FRZ0FRZ0:背景调试冻结模式允许。这:背景调试冻结模式允许。这两个控制位就决定了,当遇到断点时,两个控制位就决定了,当遇到断点时,A/D A/D 模块怎样反应。模块怎样反应。控制寄存器控制寄存器控制寄存器控制寄存器4 4 4 4 4 4 4 4ATDCTL4ATDCTL4ATDCTL4ATDCTL4ATDCTL4ATDCTL4ATDCTL4ATDCTL4 SRES8SRES8:转换精度选择。:转换精度选择。1=81=8位精度,位精度,0=100=10位精度。位精度。 SMP1SM

17、P1、SMP0SMP0:采样时间选择。可选择:采样时间选择。可选择2 2、4 4、8 8、1616个个A/DA/D时钟周期。时钟周期。 PRS4PRS4、PRS3PRS3、PRS2PRS2、PRS1PRS1、PRS0PRS0:A/D A/D 时钟分频因时钟分频因子的选择。子的选择。 A/DA/D时钟的计算公式如右图。时钟的计算公式如右图。 注意,注意,A/DA/D模块的时钟频率要在模块的时钟频率要在500KHz500KHz和和2MHz2MHz之之间,所以在选择分频因子时一定要注意。间,所以在选择分频因子时一定要注意。控制寄存器控制寄存器控制寄存器控制寄存器5 5 5 5 5 5 5 5ATDC

18、TL5ATDCTL5ATDCTL5ATDCTL5ATDCTL5ATDCTL5ATDCTL5ATDCTL5 DJMDJM:1616位结果寄存器数据的对齐方式。位结果寄存器数据的对齐方式。1=1=右对齐,右对齐,0=0=左对齐。左对齐。 DSGNDSGN:结果寄存器中数据有无符号。:结果寄存器中数据有无符号。1=1=有符号,有符号,0=0=无符号。无符号。 SRES8SRES8、DJM DJM 和和DSGN DSGN 三位配合起来使用,决定了结果寄存器中三位配合起来使用,决定了结果寄存器中数据的格式,一共有数据的格式,一共有8 8种情况。种情况。 SCANSCAN:连续转换队列的模式。此位定义了:

19、连续转换队列的模式。此位定义了A/D A/D 转换是连续进行转换是连续进行还是只进行一次。还是只进行一次。1=1=连续队列转换;连续队列转换;0=0=单次队列转换。单次队列转换。 MULTMULT:当这位为:当这位为0 0 时,时,ATD ATD 的队列控制器只从指定的输入通道的队列控制器只从指定的输入通道进行采样,可以使用进行采样,可以使用ATDCTL5ATDCTL5寄存器中的寄存器中的CCCC、CB CB 和和CA CA 三位来三位来指定需要采样的模拟量输入通道。当这位为指定需要采样的模拟量输入通道。当这位为1 1 时,则对多个的通时,则对多个的通道进行采样,每次采样的通道数量由道进行采样

20、,每次采样的通道数量由S8CS8C、S4CS4C、S2C S2C 和和S1C S1C 控制控制位来指定,第一个采样通道由位来指定,第一个采样通道由CCCC、CB CB 和和CA CA 控制位来指定,其控制位来指定,其它采样通道由通道选择码它采样通道由通道选择码CCCC、CB CB 和和CA CA 的增加来决定。的增加来决定。 CCCC、CBCB、CACA:模拟输入通道选择码。和:模拟输入通道选择码。和MULTMULT配合使用。配合使用。状态寄存器状态寄存器状态寄存器状态寄存器0 0 0 0 0 0 0 0ATDSTAT0ATDSTAT0ATDSTAT0ATDSTAT0ATDSTAT0ATDST

21、AT0ATDSTAT0ATDSTAT0 SCFSCF:队列完成标志。置位条件:当一个队列转换完毕后;如果:队列完成标志。置位条件:当一个队列转换完毕后;如果处在处在SCANSCAN模式,则每次都置位。清零条件:对此位写一;写模式,则每次都置位。清零条件:对此位写一;写ATDCTL5ATDCTL5,开始一个新的对列;,开始一个新的对列;AFFC=1AFFC=1(标志快速清除)且读(标志快速清除)且读结果寄存器。结果寄存器。 ETORFETORF:外部触发溢出标志。置位条件:处于边沿触发模式时,:外部触发溢出标志。置位条件:处于边沿触发模式时,如果第一个边沿触发的队列转换正在进行,而这时却检测到了

22、第如果第一个边沿触发的队列转换正在进行,而这时却检测到了第二个有效的边沿。清零条件:对此位写一;写控制寄存器二个有效的边沿。清零条件:对此位写一;写控制寄存器2 2、3 3或或4 4,终止当前队列;写控制寄存器,终止当前队列;写控制寄存器5 5,开始一个新队列。,开始一个新队列。 FIFORFIFOR:FIFO FIFO 溢出标志。如果转换完成标志(溢出标志。如果转换完成标志(CCFCCF)在没有被清)在没有被清零时结果寄存器被写入新值(覆盖),则置位。清零条件:对此零时结果寄存器被写入新值(覆盖),则置位。清零条件:对此位写一;写控制寄存器位写一;写控制寄存器5 5,开始一个新队列。,开始一

23、个新队列。1=1=有有FIFOFIFO溢出,溢出,0=0=无无FIFOFIFO溢出。溢出。 CC2CC2、CC1CC1、CC0CC0:转换计数器。代表了哪个结果寄存器将要接收:转换计数器。代表了哪个结果寄存器将要接收当前转换的结果。非当前转换的结果。非FIFO FIFO 模式(模式(FIFO=0FIFO=0),这),这3 3 位的初始值为位的初始值为0 0,计数完成后又会回到初始值。,计数完成后又会回到初始值。FIFO FIFO 模式(模式(FIFO=1FIFO=1),转换),转换计数器处于循环计数状态。计数器处于循环计数状态。状态寄存器状态寄存器状态寄存器状态寄存器1 1 1 1 1 1 1

24、 1ATDSTAT1ATDSTAT1ATDSTAT1ATDSTAT1ATDSTAT1ATDSTAT1ATDSTAT1ATDSTAT1 CCFxCCFx: x x通道的转换完成标志。通道的转换完成标志。1=1=完成队完成队列中的第列中的第x x 个转换,结果存储在个转换,结果存储在ATDDRx ATDDRx 寄寄存器中;存器中;0=0=转换未完成。转换未完成。 清零条件:写控制寄存器清零条件:写控制寄存器5 5,开始一个新队,开始一个新队列;列;AFFC=1AFFC=1,对结果寄存器访问;,对结果寄存器访问;AFFC=0AFFC=0,首先读状态寄存器,首先读状态寄存器1 1,然后访问,然后访问结

25、果寄存器。结果寄存器。输入允许寄存器输入允许寄存器输入允许寄存器输入允许寄存器-ATDDIEN-ATDDIEN-ATDDIEN-ATDDIEN-ATDDIEN-ATDDIEN-ATDDIEN-ATDDIEN IENxIENx:通道:通道x x 输入数字允许。这输入数字允许。这8 8个控制位个控制位控制了从模拟量输入端口到数据寄存器的控制了从模拟量输入端口到数据寄存器的数字输入缓冲区。数字输入缓冲区。1=1=缓冲区有效;缓冲区有效;0=0=无效。无效。当端口作为模拟量输入端口时,也可以打当端口作为模拟量输入端口时,也可以打开数字缓冲区,但是会增加功耗。开数字缓冲区,但是会增加功耗。数字输入寄存器

26、数字输入寄存器数字输入寄存器数字输入寄存器PORTADPORTADPORTADPORTADPORTADPORTADPORTADPORTAD PTADxPTADx:A/D A/D 模块的第模块的第x x 个通道(个通道(ANxANx)的数字输入。当)的数字输入。当对应通道的数字输入允许时,此位返回了相应引脚上的电对应通道的数字输入允许时,此位返回了相应引脚上的电平逻辑值。平逻辑值。 注意,引脚上的电平必须和注意,引脚上的电平必须和VRHVRH或或VRLVRL匹配,否则将返回匹配,否则将返回一个不确定的值。一个不确定的值。 如果响应通道的输入缓冲区无效(如果响应通道的输入缓冲区无效(IENx=0I

27、ENx=0),读取操作),读取操作只返回只返回1 1。 复位后,寄存器值等于复位后,寄存器值等于$FF$FF。 注意,注意,PORTADPORTAD端口模拟量和数字量是可以复用的。当输端口模拟量和数字量是可以复用的。当输入模拟量时,会把模拟信号直接送到入模拟量时,会把模拟信号直接送到A/D A/D 转换器;当输入转换器;当输入数字量时,会把外部数字信号送到数字量时,会把外部数字信号送到PORTAD PORTAD 寄存器以供寄存器以供读取。读取。A/DA/DA/DA/DA/DA/DA/DA/D转换结果寄存器转换结果寄存器转换结果寄存器转换结果寄存器ATDDRxATDDRxATDDRxATDDRx

28、ATDDRxATDDRxATDDRxATDDRxATDDR0ATDDR7:07通道的结果寄存器。A/D转换的结果需要从这几个寄存器中读取。每个16位寄存器可以分成2个8位的寄存器来读取,分别为ATDDRxH和ATDDRxL。注意转换结果在这8个16位寄存器中的存储格式。以10位左、右对齐为例:左对齐10位数据右对齐10位数据另外还要注意有符号数据和无符号数据的区别。 A/DA/DA/DA/DA/DA/DA/DA/D转换综合举例转换综合举例转换综合举例转换综合举例 使用教学板上电位器调试并观察使用教学板上电位器调试并观察A/DA/D转换结转换结果。果。 请见请见请见请见exp14exp14exp

29、14exp14exp14exp14exp14exp14。3 3 3 3 3 3 3 3、脉冲宽度调制、脉冲宽度调制、脉冲宽度调制、脉冲宽度调制PWMPWMPWMPWMPWMPWMPWMPWM模块模块模块模块 PWMPWM的基本概念的基本概念 PWMPWM(Pulse Width ModulatePulse Width Modulate)即脉宽调制,脉)即脉宽调制,脉宽调制波是一种可用程序来控制波形占空比、宽调制波是一种可用程序来控制波形占空比、周期、相位的波形。它在电动机驱动、周期、相位的波形。它在电动机驱动、D/A D/A 变变换等场合有着广泛的应用。换等场合有着广泛的应用。 周期,占空比,

30、相位。周期,占空比,相位。周期指上图中的周期指上图中的,占空比为,占空比为t/ t/ ,相位指高,相位指高低电平。低电平。9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM模块特性模块特性模块特性模块特性 7 7个周期、占空比可编程的个周期、占空比可编程的PWMPWM通道通道 专用的专用的PWMPWM计数器计数器 PWMPWM功能的软件使能和禁止功能的软件使能和禁止 软件选择脉冲极性软件选择脉冲极性 PWMPWM波形输出对齐方式分为左对齐和居中对齐波形输出对齐方式分为左对齐和居中对齐 可以两个通道级联以获得更高的精度

31、可以两个通道级联以获得更高的精度 可选择可选择4 4个时钟源,个时钟源,4 4个时钟源均为独立的分频设个时钟源均为独立的分频设置置 紧急关断功能紧急关断功能9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM模块外部引脚模块外部引脚模块外部引脚模块外部引脚 共共7 7个外部引脚个外部引脚 PWM0PWM05 5(4 4、3 3、2 2、1 1、8080、7979) PWM7PWM7(7878)9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM

32、模块的时钟模块的时钟模块的时钟模块的时钟 四个时钟源四个时钟源CLOCKACLOCKA、CLOCKBCLOCKB、CLOCKSACLOCKSA、CLOCKSBCLOCKSB。 其中其中CLOCKACLOCKA(B B)可以把总线时钟进行)可以把总线时钟进行1 1、2 2、4 4、8 8、1616、3232、6464、128128分频。分频。Clock A Clock A 的预分频的预分频因子通过因子通过PWMPRCLKPWMPRCLK寄存器中的寄存器中的PCKA2PCKA2、PCKA1 PCKA1 和和PCKA0 PCKA0 来选择,来选择,Clock B Clock B 的预分频因的预分频因

33、子通过子通过PWMPRCLK PWMPRCLK 寄存器中的寄存器中的PCKB2PCKB2、PCKB1 PCKB1 和和PCKB0 PCKB0 来选择。来选择。总线时钟CLOCKACLOCKBCLOCKSACLOCKSB分频分频分频分频9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM模块的时钟模块的时钟模块的时钟模块的时钟 ,CLOCKSACLOCKSA(SBSB)从)从CLOCKACLOCKA(B B)进行分频)进行分频的比例因子可以为的比例因子可以为1 1、2 2、4 4、8 8、1616、3232、6464、1

34、28128、256256,最大可以进行,最大可以进行512512分频。计算公式为:分频。计算公式为: CLOCKSACLOCKSA(SBSB)=CLOCKA=CLOCKA(B B)/2/2* *PRESCALERPRESCALER 通道通道0 0、1 1、4 4、5 5可选可选CLOCKACLOCKA或或CLOCKSACLOCKSA; 通道通道2 2、3 3、6 6、7 7可选可选CLOCKBCLOCKB或或CLOCKSBCLOCKSB。9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM模块的计数器模块的计数器模块的

35、计数器模块的计数器 每个通道的计时器都有一个每个通道的计时器都有一个8 8 位计数器、一位计数器、一个个8 8位周期寄存器和一个位周期寄存器和一个8 8 位占空比寄存器。位占空比寄存器。输出波形的周期受周期寄存器的控制,当输出波形的周期受周期寄存器的控制,当计数器的计数值等于周期寄存器的值时,计数器的计数值等于周期寄存器的值时,PWMPWM波周期结束。波周期结束。PWMPWM的波形还跟占空比的波形还跟占空比寄存器、输出极性和对齐方式有关。寄存器、输出极性和对齐方式有关。 PWMCNTx PWMCNTx 计数寄存器在计数寄存器在PWM PWM 通道工作时通道工作时也可以被写入,但是暂时产生无效的

36、波形。也可以被写入,但是暂时产生无效的波形。9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM模块的允许控制模块的允许控制模块的允许控制模块的允许控制 PWMPWM的允许位相当于的允许位相当于“ “开关开关” ”,可以允许和禁止,可以允许和禁止相应通道的相应通道的PWMPWM输出。输出。 每个每个PWM PWM 通道都对应一个允许(通道都对应一个允许(PWMExPWMEx),),只有只有PWMEx=1 PWMEx=1 时,对应的通道才输出波形。时,对应的通道才输出波形。 计数器计数结束的那一刻,只要计数器计数结束的那

37、一刻,只要PWMEx PWMEx 为高为高电平,则会自动开始下一个波形的输出。电平,则会自动开始下一个波形的输出。9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM模块的极性控制模块的极性控制模块的极性控制模块的极性控制 每个每个PWM PWM 通道都对应一个极性设置位,决通道都对应一个极性设置位,决定了定了PWM PWM 波的输出首先是高电平还是低电波的输出首先是高电平还是低电平。平。 当当PPOLx PPOLx 被置位时,对应的通道首先输出被置位时,对应的通道首先输出高电平,直到计数器计数到占空比寄存器高电平,直

38、到计数器计数到占空比寄存器的值后变为低电平;反之则首先输出低电的值后变为低电平;反之则首先输出低电平,直到计数器计数到占空比寄存器的值平,直到计数器计数到占空比寄存器的值后变为高电平。后变为高电平。左对齐的输出波型左对齐的输出波型左对齐的输出波型左对齐的输出波型 PWMCAE PWMCAE 寄存器中的寄存器中的CAEx CAEx 位是输出格式的控制位。位是输出格式的控制位。CAEx=0CAEx=0,则对应通道的输出格式是左对齐的。,则对应通道的输出格式是左对齐的。 当使用左对齐格式输出时,当使用左对齐格式输出时,8 8 位的计数器只使用加法位的计数器只使用加法计数。当计数器加法计数到占空比寄存

39、器中的值时,计数。当计数器加法计数到占空比寄存器中的值时,PWM PWM 通道输出波形的电平就发生变化;当计数器加通道输出波形的电平就发生变化;当计数器加法计数到周期寄存器中的值时,计数器复位,输出波法计数到周期寄存器中的值时,计数器复位,输出波形电平发生变化,然后再次读取占空比和周期寄存器形电平发生变化,然后再次读取占空比和周期寄存器中的值作为下次计数参考使用。中的值作为下次计数参考使用。 注意,计数器是从注意,计数器是从0 0开始计数的,直到周期寄存器中开始计数的,直到周期寄存器中的值的值-1-1。左对齐的输出波型左对齐的输出波型左对齐的输出波型左对齐的输出波型PWM波形频率和占空比的计算

40、:PWMx 频率= Clock(A, B, SA, or SB) / PWMPERx若Polarity = 0 (PPOLx=0)Duty Cycle = (PWMPERx-PWMDTYx)/PWMPERx 100%若Polarity = 1 (PPOLx=1)Duty Cycle = PWMDTYx / PWMPERx 100%左对齐(左对齐(左对齐(左对齐(PWMCAE=0PWMCAE=0PWMCAE=0PWMCAE=0PWMCAE=0PWMCAE=0PWMCAE=0PWMCAE=0)的输出波型的输出波型的输出波型的输出波型下面举一个左对齐输出的例子:假设时钟源频率=10MHz(周期=10

41、0ns),PPOLx=0,PWMPERx=4,PWMDTYx=1。所以,PWMx 的频率 = 10MHz/4 = 2.5MHz,PWMx 的周期=400ns,PWMx 的占空比=3/4100% = 75%。居中对齐的输出波型居中对齐的输出波型居中对齐的输出波型居中对齐的输出波型 CAEx=1CAEx=1,则对应通道的输出格式是居中对齐的。,则对应通道的输出格式是居中对齐的。 在这个模式下,计数器既进行加法计数也进行减法在这个模式下,计数器既进行加法计数也进行减法计数。当计数器加法计数到占空比寄存器中的值计数。当计数器加法计数到占空比寄存器中的值时,时,PWM PWM 通道输出波形的电平就发生变

42、化;当加法通道输出波形的电平就发生变化;当加法计数到周期寄存器中的值时,计数器会从加法计数计数到周期寄存器中的值时,计数器会从加法计数改变为减法计数;当计数器再次减法计数到占空比改变为减法计数;当计数器再次减法计数到占空比寄存器中的值时,寄存器中的值时,PWM PWM 通道输出波形的电平再次发通道输出波形的电平再次发生变化;当计数器继续减法计数到生变化;当计数器继续减法计数到$00 $00 时,计数器重时,计数器重新变为加法计数器,然后再次读取占空比和周期寄新变为加法计数器,然后再次读取占空比和周期寄存器中的值作为下次计数参考使用。存器中的值作为下次计数参考使用。居中对齐的输出波型居中对齐的输

43、出波型居中对齐的输出波型居中对齐的输出波型用时钟源的频率除以周期寄存器中值的2 倍,得到的结果就是当前PWM输出波形的频率。PWMx Frequency = Clock(A, B, SA, or SB) / (2PWMPERx)若Polarity = 0 (PPOLx=0)Duty Cycle = (PWMPERx-PWMDTYx)/PWMPERx 100%若Polarity = 1 (PPOLx=1)Duty Cycle = PWMDTYx / PWMPERx 100%居中对齐的输出波型居中对齐的输出波型居中对齐的输出波型居中对齐的输出波型下面举一个居中对齐输出的例子:假设时钟源频率=10M

44、Hz(周期=10ns),PPOLx=0,PWMPERx=4,PWMDTYx=1。所以,PWMx 的频率 = 10MHz/4 = 2.5MHz,PWMx 的周期=400ns,PWMx 的占空比=3/4100% = 75%。9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM9S12 PWM模块的通道级联模块的通道级联模块的通道级联模块的通道级联 如果需要如果需要PWM PWM 输出波形的精度更高,则可输出波形的精度更高,则可以把以把2 2 个个8 8 位位PWM PWM 通道级联起来组成通道级联起来组成1 1 个个16 16 位通道。位

45、通道。 PWMCTL PWMCTL 寄存器中有寄存器中有4 4 个控制个控制位,可以完成这个级联的功能。位,可以完成这个级联的功能。CON67CON67、CON45CON45、CON23 CON23 和和CON01 CON01 可以把相应的两可以把相应的两个通道级联起来。个通道级联起来。 注意级联后高注意级联后高8 8位和低位和低8 8位的区别,控制寄存位的区别,控制寄存器的区别。器的区别。允许控制寄存器允许控制寄存器允许控制寄存器允许控制寄存器-PWME-PWME-PWME-PWME-PWME-PWME-PWME-PWME当PWMEx 被置位后,PWM 输出就会立即开始。但是直到PWM 模块

46、时钟的下一个周期到来之时,才可以输出正确的波形,而在这之前的波形可能会是无效的。当处于级联模式时,8 个8 位PWM 通道组成4 个16 位的PWM 通道,PWME1、PWME3、PWME5 和PWME7 对这4 个通道进行控制,而其它控制位处于无效状态。PWMEx:通道有效选择位。1=允许PWM输出,0=禁止。极性寄存器极性寄存器极性寄存器极性寄存器-PWMPOL-PWMPOL-PWMPOL-PWMPOL-PWMPOL-PWMPOL-PWMPOL-PWMPOLPWMPOL 寄存器随时都可以进行设置。如果某PWM 通道在输出波形的过程中,波形的极性发生了改变,那么在两组波形的连接处可能会出现缩

47、短或者拉伸了的不正常波形。PPOLx:通道x的输出极性。1=先高后低,0=先低后高。时钟选择寄存器时钟选择寄存器时钟选择寄存器时钟选择寄存器-PWMCLK-PWMCLK-PWMCLK-PWMCLK-PWMCLK-PWMCLK-PWMCLK-PWMCLKPCLK7PCLK7PCLK7PCLK7:PWM 通道7 时钟源选择。1=Clock SB ;0=Clock B。PCLK6PCLK6PCLK6PCLK6:PWM 通道6 时钟源选择。1=Clock SB ;0=Clock B。PCLK5PCLK5PCLK5PCLK5:PWM 通道5 时钟源选择。1=Clock SA ;0=Clock A。PCL

48、K4PCLK4PCLK4PCLK4:PWM 通道4 时钟源选择。1=Clock SA ;0=Clock A。PCLK3PCLK3PCLK3PCLK3:PWM 通道3 时钟源选择。1=Clock SB ;0=Clock B。PCLK2PCLK2PCLK2PCLK2:PWM 通道2 时钟源选择。1=Clock SB ;0=Clock B。PCLK1PCLK1PCLK1PCLK1:PWM 通道1 时钟源选择。1=Clock SA ;0=Clock A。PCLK0PCLK0PCLK0PCLK0:PWM 通道0 时钟源选择。1=Clock SA ;0=Clock A。预分频时钟选择寄存器预分频时钟选择寄

49、存器预分频时钟选择寄存器预分频时钟选择寄存器此寄存器用来选择Clock A 和B 的预分频因子。PWMPRCLK 寄存器随时都可以进行设置。如果某PWM 通道在输出波形的过程中,此通道的所使用时钟的频率发生了改变,那么在两组波形的连接处可能会出现缩短或者拉伸了的不正常波形。PCKB2PCKB2PCKB2PCKB2、PCKB1PCKB1PCKB1PCKB1、PCKB0PCKB0PCKB0PCKB0:Clock B 预分频因子的选择PCKA2PCKA2PCKA2PCKA2、PCKA1PCKA1PCKA1PCKA1、PCKA0PCKA0PCKA0PCKA0:Clock A 预分频因子的选择居中对齐允

50、许寄存器居中对齐允许寄存器居中对齐允许寄存器居中对齐允许寄存器-PWMCAE-PWMCAE-PWMCAE-PWMCAE-PWMCAE-PWMCAE-PWMCAE-PWMCAE此寄存器控制每个PWM 通道输出波形的对齐方式,可以是左对齐或者居中对齐。如果CAEx=1,则对应通道的输出波形为居中对齐方式;如果CAEx=0,则为左对齐方式。注意只有当对应通道被禁止输出时,才可以设置此寄存器。CAExCAExCAExCAEx:1=对应x通道为居中对齐模式 0=对应x通道为左对齐模式控制寄存器控制寄存器控制寄存器控制寄存器-PWMCTL-PWMCTL-PWMCTL-PWMCTL-PWMCTL-PWMCT

51、L-PWMCTL-PWMCTL此寄存器可以实现把8 个8 位PWM 通道级联为4 个16 位PWM 通道。CONxyCONxyCONxyCONxy:x通道和y通道级联,以获得更高的输出精度。x通道作为高8位,y通道作为低8位。这时只有通道y的时钟选择位、极性控制位、输出允许位和对齐方式选择位才有效;通道x 的相应寄存器均无效。1=级联模式0=非级联模式PFRZPFRZPFRZPFRZ:冻结模式PWM 计数器停止。1=停止,0=继续运行。比例因子寄存器比例因子寄存器比例因子寄存器比例因子寄存器A-PWMSCLAA-PWMSCLAA-PWMSCLAA-PWMSCLAA-PWMSCLAA-PWMSC

52、LAA-PWMSCLAA-PWMSCLA此寄存器用来提供产生时钟Clock SA 的比例因子,Clock SA 是由Clock A 经过一系列运算得来的,公式:Clock SA = Clock A / (2Clock SA = Clock A / (2Clock SA = Clock A / (2Clock SA = Clock A / (2PWMSCLA)PWMSCLA)PWMSCLA)PWMSCLA)当PWMSCLA=0 时,默认比例因子为256,此时Clock SA 的频率等于Clock A 的频率除以512。比例因子寄存器比例因子寄存器比例因子寄存器比例因子寄存器B-PWMSCLBB-

53、PWMSCLBB-PWMSCLBB-PWMSCLBB-PWMSCLBB-PWMSCLBB-PWMSCLBB-PWMSCLB此寄存器用来提供产生时钟Clock SB 的比例因子,Clock SB 是由Clock B 经过一系列运算得来的,公式如下:Clock SB = Clock B / (2Clock SB = Clock B / (2Clock SB = Clock B / (2Clock SB = Clock B / (2PWMSCLB)PWMSCLB)PWMSCLB)PWMSCLB)当PWMSCLB=0 时,默认比例因子为256,此时Clock SB 的频率等于Clock B 的频率除以

54、512。x x x x x x x x通道计数寄存器通道计数寄存器通道计数寄存器通道计数寄存器-PWMCNTx-PWMCNTx-PWMCNTx-PWMCNTx-PWMCNTx-PWMCNTx-PWMCNTx-PWMCNTx每个PWM 通道都有一个独立的加法/减法计数器,计数器的频率跟时钟源的频率有关。可以在任意时刻来读取计数器里的值,而计数器的工作不会受到影响。注意我们刚才讲过的左/居中对齐方式时,计数器的计数方式。对计数寄存器进行写操作将使它复位,计数器会立即开始加法计数,从周期寄存器和占空比寄存器的缓冲区里得到周期和占空比值,然后根据设置的极性来输出。当相应的PWM通道被禁止输出(PWME

55、x=0)时,计数器不工作;当PWM 通道允许时,计数器会以计数寄存器中的值为起点开始计数。周期寄存器周期寄存器周期寄存器周期寄存器-PWMPERx-PWMPERx-PWMPERx-PWMPERx-PWMPERx-PWMPERx-PWMPERx-PWMPERx每个PWM 通道都有一个独立的周期寄存器,周期寄存器中的值对应PWM 通道输出波形的周期。此寄存器具有双重缓存功能,新输入的值被送到缓冲区,而不是立即生效,到下一个有效周期开始时才会有效,所以PWM 输出波形的周期在改变时不会产生无效波形。占空比寄存器占空比寄存器占空比寄存器占空比寄存器-PWMDTYx-PWMDTYx-PWMDTYx-PW

56、MDTYx-PWMDTYx-PWMDTYx-PWMDTYx-PWMDTYx每个PWM 通道都有一个独立的占空比寄存器,周期寄存器中的值对应PWM 通道输出波形的周期。此寄存器同样是双重缓存的。注意:读取寄存器会得到最新一次写入的值,而不会返回当前的周期值。关断寄存器关断寄存器关断寄存器关断寄存器-PWMSDN-PWMSDN-PWMSDN-PWMSDN-PWMSDN-PWMSDN-PWMSDN-PWMSDNPWM7ENAPWM7ENAPWM7ENAPWM7ENA:PWM 紧急关断允许当此位为1 时,通道7 会被强制配置为输入端口,并且允许紧急关断。只有当此位为1时,寄存器中的其它位才有意义。PW

57、M7INL:紧急关断通道7的有效电平。1=高电平,0=低电平。PWM7IN:PWM 通道7 的输入电平状态。PWMLVL:PWM 紧急关断后的输出电平。1=高,0=低。PWMRSTRT: PWM 重新启动。只有在当PWM 通道7 处于无效状态(非紧急关闭)时,才可以重新启动PWM 模块。把PWMRSTRT 置一,当计数器返回0 时,PWM 通道才开始运行。PWMIE:PWM 中断允许。1=允许,0=禁止。PWMIF:PWM 中断标志。当位时,PWM 通道7 上电平的任意变化将产生中断并使PWMIPWM7ENA 被置F 置位。1=PWM7IN输入有变化。0=PWM7IN 输入无变化。PWM PW

58、M PWM PWM PWM PWM PWM PWM 的综合举例的综合举例的综合举例的综合举例 利用利用PWM5 PWM5 通道来做通道来做DA DA 转换输出变化的电转换输出变化的电压控制小灯的亮度。压控制小灯的亮度。void PWMInit(void)PWMPOL=0x20; /脉冲先高后低PWMCLK=0x20; /通道5使用sa 时钟源PWMPRCLK=0x07; /时钟a 为总线8 分频PWMCAE=0x00; /通道5 为左对齐输出模式PWMCTL=0x00; /单独使用通道5,非级联PWMSCLA=0x05; /Clock SA=Clock A/(2*PWMSCLA),SA 为3k

59、HzPWMPER5=0x64; /设定周期PWM PWM PWM PWM PWM PWM PWM PWM 的综合举例的综合举例的综合举例的综合举例void main(void)unsigned char i;unsigned long j=10000;SET_PLL(); /设置锁相环EnableInterrupts;PWMInit(); /初始化PWMfor(i=0;i0x64;i+)while(j-);j=10000;while(j-);j=10000;PWMDTY5=i; /设定占空比PWME=0x20; /使能PWM4 4 4 4 4 4 4 4、增强型定时器、增强型定时器、增强型定时

60、器、增强型定时器ECTECTECTECTECTECTECTECT模块模块模块模块 IC/OCIC/OC的定义:的定义: Input Capture/Output Compare Input Capture/Output Compare 输入捕获和输出比较输入捕获和输出比较 ICIC对外部的脉冲信号进行计数得到计数数值,根对外部的脉冲信号进行计数得到计数数值,根据数值可以计算出脉冲信号的宽度或周期。据数值可以计算出脉冲信号的宽度或周期。ECTECT模模块有块有8 8个个ICIC通道分别为通道分别为IC0-IC7IC0-IC7,IC0-IC3IC0-IC3是标准的带是标准的带有保持器的缓冲通道,而

61、有保持器的缓冲通道,而IC4-IC7IC4-IC7不带有保持器。不带有保持器。 OCOC输出具有精确宽度或周期的脉冲信号。通道为输出具有精确宽度或周期的脉冲信号。通道为OC0-0C7OC0-0C7。 ECTECT模块的外部引脚:模块的外部引脚: 共有共有8 8个外部引脚,分别为个外部引脚,分别为IOC0IOC0IOC7IOC7,与,与PORTTPORTT复用。复用。ECTECTECTECTECTECTECTECT模块的组成模块的组成模块的组成模块的组成 4 4 个带有个带有16 16 位缓存的输入比较通道位缓存的输入比较通道 4 4 个带有个带有8 8 位缓存的脉冲累加器,也可以作为位缓存的脉

62、冲累加器,也可以作为2 2个个16 16 位的累加器使用位的累加器使用 带有带有4 4 位预分频因子的位预分频因子的16 16 位模数递减计数器位模数递减计数器 用户可选可抵御噪音的延迟计数器用户可选可抵御噪音的延迟计数器三个例子三个例子三个例子三个例子 举三个例子讲述本节内容举三个例子讲述本节内容 流水灯显示流水灯显示 使用输入捕捉功能,对外来脉冲进行计数使用输入捕捉功能,对外来脉冲进行计数 使用输出比较功能,输出一个具有一定宽度的使用输出比较功能,输出一个具有一定宽度的高电平脉冲高电平脉冲void TimerOverflow(void)unsigned char i=1,j=0x80;wh

63、ile(i!=0)&(j!=0)PORTB=(i|j);i=1;while(TCNT!=0x0000); /延时while(TCNT=0x0000);void main(void)/SET_PLL(); /设置锁相环EnableInterrupts;TSCR1=0x80; /定时器使能TSCR2=0x07; /分频系数为128DDRB=0xff;PORTB=0xff;for(;)TimerOverflow();/请见请见exp15exp15exp15exp15例一:流水灯的显示例一:流水灯的显示例一:流水灯的显示例一:流水灯的显示例一:流水灯的显示例一:流水灯的显示例一:流水灯的显示例一:流水

64、灯的显示PORTB输出调用函数设置控制寄存器1设置控制寄存器2延时控制ECTECTECTECTECTECTECTECT控制寄存器一控制寄存器一控制寄存器一控制寄存器一本例设置TSCR1=0x80。TEN=1:主定时器使能。0=主定时器禁止,进入低功耗状态。TSWAI=0:等待模式时主定时器继续工作,方便调试。0=停止工作。TSFRZ=0:冻结模式时主定时器继续工作。0=停止工作。TFFCA=0:自动清除标志位。1=手动清除。必须设置TSCR1才可以使主定时器工作,需要在ECT模块初始化时就设置好。ECTECTECTECTECTECTECTECT控制寄存器二控制寄存器二控制寄存器二控制寄存器二本

65、例设置TOI=0:禁止定时器溢出中断。1=允许。TCRE=0:定时器自由运行。1=输出比较7引起复位。PR2=1、PR1=1、PR0=1:分频系数=128。ECTECTECTECTECTECTECTECT计数寄存器计数寄存器计数寄存器计数寄存器TCNT为自由计数器的计数值,本例通过读取它的值实现延时。这是一个16位的寄存器,最大值为65535。 while(TCNT!=0x0000); while(TCNT=0x0000);这两句的含义是:TCNT开始计数后值不为0,等待直到TCNT溢出返回0后,第一句继续,然后再等到到TCNT不为0,第二句继续。如果没有第二句,则有可能灯“隔一个亮一个”。例

66、二:输入捕捉例二:输入捕捉例二:输入捕捉例二:输入捕捉 利用输入捕捉利用输入捕捉0 0通道对外来的方波信号进行通道对外来的方波信号进行捕捉,采用中断的形式。中断发生后,读捕捉,采用中断的形式。中断发生后,读取捕捉后的计数值,并用取捕捉后的计数值,并用LEDLED灯指示中断灯指示中断成功。成功。 通道通道0 0设置为输入捕捉,采用双沿触发,设置为输入捕捉,采用双沿触发,IC0IC0开中断,可以进入中断服务程序。方波信开中断,可以进入中断服务程序。方波信号由号由PORTA_BIT6PORTA_BIT6产生,产生,PORTA_BIT6PORTA_BIT6和和IOC0IOC0硬件连接。硬件连接。例二:

67、输入捕捉例二:输入捕捉例二:输入捕捉例二:输入捕捉IC0IC0IC0IC0的初始化:的初始化:void ic_init(void)TSCR1= 0x90; /主定时器使能 TSCR2=0x07; /分频因子=128 ICSYS=0x02;/IC缓冲使能 TIOS=0; /通道设置为输入捕获TCTL4= 0xff;/采用上升、下降沿触发 TIE=0x00000001;/通道0开中断本例使用了例一未使用的过寄存器:ICSYS、TIOS、TCTL4和TIE。输入控制系统控制寄存器输入控制系统控制寄存器输入控制系统控制寄存器输入控制系统控制寄存器-ICSYS-ICSYS-ICSYS-ICSYS-ICS

68、YS-ICSYS-ICSYS-ICSYSSHxy=0:正常操作。1=通道x在通道y上产生同样的动作。TFMOD=0:只要产生正确的输入捕获事件,TFLG1中的CxF位就置一。1=队列模式时才使用。PACMX=0:8位脉冲累加器溢出后自动回0。1=不自动回0,停留在0XFF。脉冲累加器对IC通道捕获的有效边沿数量进行计数。BUFEN=1:使用输入捕获缓冲区。0=不使用。LATQ=0:输入捕获队列模式使能。产生一次成功的输入捕获时,IC通道寄存器中保留的计数值会被送到保持器中,然后IC寄存器会接收新的计数值。1=锁存模式使能。IC/OCIC/OCIC/OCIC/OCIC/OCIC/OCIC/OCI

69、C/OC选择寄存器选择寄存器选择寄存器选择寄存器-TIOS-TIOS-TIOS-TIOS-TIOS-TIOS-TIOS-TIOSTIOS=0:设置相应的通道为输入捕获。IOSx:1=通道x为输出比较; 0=通道x为输入捕获。控制寄存器控制寄存器控制寄存器控制寄存器3/43/43/43/43/43/43/43/4TCTL3/4TCTL3/4TCTL3/4TCTL3/4TCTL3/4TCTL3/4TCTL3/4TCTL3/4EDGnA/B:输入捕捉边沿控制。本例设置EDG0A=1,EDG0B=1。即IC0通道采用上升,下降沿都触发。n代表通道序号。定时器中断使能寄存器定时器中断使能寄存器定时器中断

70、使能寄存器定时器中断使能寄存器-TIE-TIE-TIE-TIE-TIE-TIE-TIE-TIECxI:1=第x个通道中断允许;0=第x个通道中断禁止。本例设置C0I=1,把通道0的中断打开,这样能够使外部信号引发IC0中断,并进入相应的服务程序进行处理。例二:输入捕捉例二:输入捕捉例二:输入捕捉例二:输入捕捉初始化好后,需要在main函数中调用初始化函数ic_init()。以下是在main函数中,如何使用PORTA_BIT6来产生一个方波信号。DDRA=0xff;/设置PORTA的方向寄存器,为输出PORTA=0x00;/PORTA的输出初始化为低电平DDRB=0xff;/PORTB设置为LE

71、D灯的控制信号PORTB=0xff;/LED全灭for(;)for(i=0;i6000;i+)PORTA_BIT6=1;/循环中设置信号为高电平for(i=0;i6000;i+)PORTA_BIT6=0;/循环中设置信号为低电平,产生方波 例二:输入捕捉例二:输入捕捉例二:输入捕捉例二:输入捕捉方波信号已经成功得产生了,下面是中断服务程序:#pragma CODE_SEG NON_BANKEDvoid interrupt 8 ic0_int(void)TFLG1_C0F=1;/中断标志清除ic4=TC0;/通过读TC0寄存器来响应中断,ic4为用户设置的变量ic4=ic4-ic40;ic40=

72、ic4; /得到脉冲宽度需要考虑溢出吗?PORTB=0x55;/用LED灯来指示已经进入了中断/*以下放置其他代码*/例三:输出比较例三:输出比较例三:输出比较例三:输出比较这里讲一个输出比较例子,目的是通过OC0通道输出一个有一定宽度的脉冲。OC0的初始化:void oc_init()TSCR2 = 0x07;/128分频,定时器溢出中断禁止TIOS = 0xff; /所有通道作为输出比较通道TIE = 0x00; /中断全部禁止TSCR1= 0x90; /主定时器使能TCTL2=0xaa; /TC0低电平CFORC=0xff; /强制输出比较例三:输出比较例三:输出比较例三:输出比较例三:

73、输出比较void main(void)EnableInterrupts;oc_init();/初始化函数调用asm_main(); TCTL2_OM0=1;TCTL2_OL0=1; /OC0高电平CFORC_FOC0=1; /OC0强制输出比较TC0=TCNT+40000;/设置脉冲宽度,在自由计数器TCNT的基础上加上脉冲宽度的计数值TCTL2_OM0=1;TCTL2_OL0=0;/OC0低电平for(;)/*其他代码*/强制输出比较寄存器强制输出比较寄存器强制输出比较寄存器强制输出比较寄存器-CFORC-CFORC-CFORC-CFORC-CFORC-CFORC-CFORC-CFORCFO

74、Cxx通道强制输出比较在相应的寄存器位写入强制输出比较命令,会立即使相应的通道处于输出比较状态。定时器控制寄存器定时器控制寄存器定时器控制寄存器定时器控制寄存器1/21/21/21/21/21/21/21/2TCTL1/2TCTL1/2TCTL1/2TCTL1/2TCTL1/2TCTL1/2TCTL1/2TCTL1/2OMnOMnOMnOMn:输出模式 OLnOLnOLnOLn:输出等级这8 对控制位是用来指定输出比较的输出动作的,当OMn 和OLn 二者任意一个为1 时,OCn 对应的端口会有相应的输出。如果需要用OMn 和OLn 来控制相应定时器端口的输出,则OC7M 中的对应位必须清零。

75、5 5 5 5 5 5 5 5、同步外设接口、同步外设接口、同步外设接口、同步外设接口SPISPISPISPISPISPISPISPI模块模块模块模块 串行通信的概念:串行通信的概念: 所谓串行通信是指外设和计算机间使用一根数所谓串行通信是指外设和计算机间使用一根数据信号线一位一位地传输数据。据信号线一位一位地传输数据。 串行通信的优点:串行通信的优点: 通信线路少,在远距离通信时可以极大地降低通信线路少,在远距离通信时可以极大地降低成本,所以适合于远距离数据传送,也常用于成本,所以适合于远距离数据传送,也常用于速度要求不高的近距离数据传送。速度要求不高的近距离数据传送。5 5 5 5 5 5

76、 5 5、同步外设接口、同步外设接口、同步外设接口、同步外设接口SPISPISPISPISPISPISPISPI模块模块模块模块 常见串行通信:常见串行通信: 异步串行通信异步串行通信SCISCI(通用异步收发(通用异步收发UARTUART) 同步串行外设接口同步串行外设接口SPISPI IICIIC(Inter-Integrated CircuitInter-Integrated Circuit)总线)总线 控制局域网控制局域网CANCAN总线总线 USBUSB PS/2PS/2 LINLIN(Local Interconnect NetworkLocal Interconnect Netw

77、ork)总线)总线同步和异步串行通信的区别同步和异步串行通信的区别同步串行通信-使用时钟信号(SPI)。以数据块(帧)为传输单位;双方使用同一时钟(主控方提供时钟,被控方接收时钟);数据格式:每个数据块前加12个同步字符(同步头)进行帧同步,一般采用CRC循环冗余校验码;同步通信的数据传输效率和传输速率较高,但硬件电路比较复杂;异步串行通信-不使用时钟信号(SCI)。串行通信时的数据、控制和状态信息都使用同一根信号线传送;收发双方必须遵守共同的通信协议(通信规程);串行异步通信以字符为单位进行传输。串行通信的串行通信的串行通信的串行通信的数据传输方式数据传输方式方式方式图示图示一句话总结一句话

78、总结全双工全双工同时收发同时收发半双工半双工分时收发分时收发单工单工只发不收,只发不收,只收不发只收不发9S129S129S129S129S129S129S129S12系列单片机系列单片机系列单片机系列单片机SPISPISPISPISPISPISPISPI的定义的定义的定义的定义 SPISPI(Serial Peripheral InterfaceSerial Peripheral Interface,串行外设接,串行外设接口)是一种高速高效的同步串行接口技口)是一种高速高效的同步串行接口技术,这种接口技术主要用于术,这种接口技术主要用于MCU MCU 与外部的与外部的接口芯片交换数据,已逐渐

79、成为一种工业接口芯片交换数据,已逐渐成为一种工业接口标准。接口标准。 MC9S12DG128MC9S12DG128有两个有两个SPI SPI 接口,分别占用接口,分别占用PP PP 口(口(0-3 0-3 位)和位)和PM PM 口(口(2-5 2-5 位),引脚位),引脚号分别为号分别为1-41-4和和70-7370-73。SPISPISPISPISPISPISPISPI引脚定义引脚定义引脚定义引脚定义 SPISPI引脚:引脚: SCKSCK主机产生的同步时钟主机产生的同步时钟 MOSIMOSI主机发送,从机接收主机发送,从机接收 MISOMISO主机接收,从机发送主机接收,从机发送 SSS

80、S主从机选择主从机选择 注意:注意: 注意,主从机选择信号是低电平有效。注意,主从机选择信号是低电平有效。1-1-主机,主机,0=0=从机。系统中只能有一个主机。从机。系统中只能有一个主机。 主机的主机的MOSIMOSI、MISOMISO分别和从机的分别和从机的MOSIMOSI,MISOMISO连连接。接。SPISPISPISPISPISPISPISPI工作模式工作模式工作模式工作模式 主机模式:主机模式: 主机产生时钟信号,用来同步主从双方的移位主机产生时钟信号,用来同步主从双方的移位寄存器。对主机来说,数据的收发是同步的,寄存器。对主机来说,数据的收发是同步的,数据流向有可能是一个方向。数

81、据流向有可能是一个方向。 从机模式:从机模式: 需要在时钟信号的作用下,需要在时钟信号的作用下,SSSS必须有效。必须有效。 双工模式(三线同步传输):双工模式(三线同步传输): 无论是主机模式还是从机模式都只用一个引脚无论是主机模式还是从机模式都只用一个引脚传输数据。传输数据。SPISPISPISPISPISPISPISPI模块的寄存器模块的寄存器模块的寄存器模块的寄存器 SPISPI控制寄存器一控制寄存器一SPICR1SPICR1 SPISPI控制寄存器二控制寄存器二SPICR2SPICR2 SPISPI状态寄存器状态寄存器SPISRSPISR SPISPI波特率寄存器波特率寄存器SPIB

82、RSPIBR SPISPI数据寄存器数据寄存器SPIDRSPIDRSPISPISPISPISPISPISPISPI控制寄存器一控制寄存器一控制寄存器一控制寄存器一SPIESPI中断使能位。1=中断允许,0=中断禁止。SPESPI系统使能位。1=使用SPI,0=不使用SPI。SPTIE发送中断使能。1=允许,0=禁止。MSTR主从模式选择位。1=主机,0=从机。CPOL时钟极性位。1=有效时钟为低,空闲为高,0=有效时钟为高,空闲为低。主从机必须匹配。SPISPISPISPISPISPISPISPI控制寄存器控制寄存器控制寄存器控制寄存器1 1 1 1 1 1 1 1SPICR1SPICR1SP

83、ICR1SPICR1SPICR1SPICR1SPICR1SPICR1CPHASPI时钟相位控制。1=主机在SPSCK的第一个跳变开始驱动MOSI,从机应用它来启动数据发送。在连结几次SPI传送期间,从机的SS脚可保持为低电平;0=SS下跳用于启动从机数据发送,而第一个SPSCK跳变捕捉最高位。在一次SPI传送完毕,从机的SS脚必须返回高电平。SSOE从机选择输出使能。跟MODF配合使用。LSBFE最低位先发使能。1=先发最低位,0=先发最高位。SS非下降沿启动时钟信号启动SPISPISPISPISPISPISPISPI控制寄存器二控制寄存器二控制寄存器二控制寄存器二MODFEN模式错误使能位。

84、主机模式MODFEN=0,则SS不作用于SPI。如8页表。从机模式下,无论MODFEN为何值,SS只作为输入。1-SS引脚使用,0-SS引脚不作用于SPI。BIDIROE-在双工模式下(SPC0=1)输出使能。1-输出缓冲使能,0-输出缓冲禁止。SPISWAI-SPI 在等待模式下停止位。1-等待模式停止,0-等待模式正常工作。SPC0-串行引脚控制位0。控制正常和双工模式。SPI SPI SPI SPI SPI SPI SPI SPI 波特率寄存器波特率寄存器波特率寄存器波特率寄存器波特率-每秒钟数据传输的二进制位数bps。SPPR2-SPPR0-SPI 波特率预分频位SPR2-SPR0-S

85、PI 波特率选择位组合使用SPI SPI SPI SPI SPI SPI SPI SPI 状态寄存器状态寄存器状态寄存器状态寄存器SPISR寄存器指示SPI的状态,并且只读。SPIF-SPIF 中断标志。1-中断发生,有数据存储到SPI数据寄存器-SPIDR;0-传输未完成。注意对此位清零的方法:当SPIF=1时,首先读SPISR的值,然后访问数据寄存器SPIDR。SPTEF-SPI 发送空中断标志。1-SPI数据寄存器空(数据已发送);0-SPI数据寄存器非空。注意对此位清零的方法:当SPTEF=1时,首先读SPISR的值,然后写数据寄存器SPIDR。MODF-模式错误标志。1-发生错误,0

86、-无错误。当SPI 配置成主机模式并且模式错误检测使能(SPICR2 的MODFEN 位置位)时,如果SS 输入变低,该位置位。注意对此位清零的方法:当MODF=1时,首先读SPISR的值,然后写SPI控制寄存器1。SPI SPI SPI SPI SPI SPI SPI SPI 数据寄存器数据寄存器数据寄存器数据寄存器SPI 数据寄存器是SPI 数据的输入和输出寄存器。写该寄存器会允许一个字节进入队列并发送。如果SPI 被配置成主机,当前面的数据发送完成,排在队列的数据会立即被发送。SPISR 寄存器中的发送空标志SPTEF 表明SPI 数据寄存器准备接收新的数据。当SPIF=1 时SPIDR

87、 中接收的数据是有效的,这时才可以读到有效数据。注意,SPIEF=0时表示当前数据并未发送完成,不可写SPIDR寄存器,当SPIEF=1时,才可以写SPIDR寄存器以发送新数据。SPISPISPISPISPISPISPISPI综合举例综合举例综合举例综合举例单片机MC9S12DG128 的SPI1 接口和74HC164 连接,74HC164 是串入并出的8 位移位寄存器,用其来扩展并行输出口,74HC164 的输出作为数码管显示电路的段码,采用动态扫描显示。PT5 做74HC164 的片选信号。微控制器工作于主模式,向SPIDR 写入数据启动SPI 发送模块后,MCU 发送的数据进入74HC1

88、64 的移位寄存器中。请见请见exp17exp17exp17exp17。SPI1SPI1SPI1SPI1的的MOSIMOSIMOSIMOSI,时,时钟,片选,钟,片选,接到接到74HC16474HC16474HC16474HC16474HC16474HC16474HC16474HC164,串入,串入并出。并出。74HC24474HC24474HC24474HC244八八同相三态线驱同相三态线驱动器动器接接8 8 8 8段段LEDLEDLEDLED的的驱动电路,低驱动电路,低电平使某段发电平使某段发光。光。SCISCISCISCISCISCISCISCI(串行通信接口)总线接口(串行通信接口)总

89、线接口(串行通信接口)总线接口(串行通信接口)总线接口MC9S12DG128单片机有两个SCI 模块。SCI 模块仅仅复用了PS 口的4 个I/O 引脚(0-3 位)作为RXD0、TXD0、RXD1、TXD1。当SCI 接收器/发送器被允许时,SCI 将控制TxD 和RxD 进入相应的操作,否则它们只能用作普通的I/O 口。注意SCI是异步异步串行通信口。SCI的发送器与接收器都是双缓冲的。各自有工作使能和中断控制。可工作于全双工模式。SCI的主要特性:1、异步 2、全双工3、不归零格式(NRZ) 4、13个波特率控制位,选择更广5、收、发双缓冲 6、具有8个中断标志位的中断驱动操作SCI的其

90、他特性可以在寄存器的讲解时体会。SCISCISCISCISCISCISCISCI的寄存器的寄存器的寄存器的寄存器波特率寄存器波特率寄存器波特率寄存器波特率寄存器SCIBDHSCIBDHSCIBDHSCIBDHSCIBDHSCIBDHSCIBDHSCIBDH和和和和SCIBDLSCIBDLSCIBDLSCIBDLSCIBDLSCIBDLSCIBDLSCIBDL波特率计算公式:BR=两寄存器组合值,1-8191注意此寄存器的赋值方法:如果只对SCIBDH赋值是无效的,必须先对SCIBDH赋值,再对SCIBDL赋值才可以产生有效的BR。如果不使用SCIBDH,则可以直接给SCIBDL赋值,因为SCI

91、BDH复位后默认为0,相当于赋0值。下面举个设置波特率为9600的例子。波特率寄存器波特率寄存器波特率寄存器波特率寄存器SCIBDHSCIBDHSCIBDHSCIBDHSCIBDHSCIBDHSCIBDHSCIBDH和和和和SCIBDLSCIBDLSCIBDLSCIBDLSCIBDLSCIBDLSCIBDLSCIBDL设置波特率实质上是计算公式中BR的值,再把BR的值赋给波特率寄存器。BR的值计算是有技巧的,可以用一个公式完成。BR值 = (PLL频率/ 2) / 目标波特率 / 16 )注意PLL频率/2即总线频率。使用PLL,总线频率=PLL频率/2,不使用,则总线频率=晶振频率/2。所以

92、,如果需要设置SCI0波特率为9600,并假设PLL时钟频率=40M的话,赋值程序为:SCI0BDL = (unsigned char)(40000000UL / 2) / 9600 / 16 ); SCI0BDH不使用。SCISCISCISCISCISCISCISCI控制寄存器控制寄存器控制寄存器控制寄存器1-SCICR11-SCICR11-SCICR11-SCICR11-SCICR11-SCICR11-SCICR11-SCICR1LOOPS-SCILOOPS-SCILOOPS-SCILOOPS-SCI回送模式。即“自发自收”。1=回送模式,0=正常模式。SCISWAISCISWAISCIS

93、WAISCISWAI-等待模式停止控制。1=等待模式SCI工作,0=不工作。RSRCRSRCRSRCRSRC-接收器来源。1=来源于外部,0=来源于内部。和LOOPS配合。MMMM-数据字符长度。1=数据位9位,0=数据位8位。WAKEWAKEWAKEWAKE-唤醒条件位。1=地址位唤醒(接收数据的最大显著位为1),0=空闲线唤醒(RXD脚满足空闲条件)。ILTILTILTILT-空闲线类型。这位决定SCI什么时候开始计数“空闲字符”的位数。计数可从“起始位”或从“停止位”开始。从“起始位”开始计数,则“停止位”前的一串“1”可能产生错误的空闲线条件。从“停止位”开始计数,可避免错误的空闲线识

94、别,但需要适当地同步发送操作。PEPEPEPE-奇偶校验使能。1=允许,0=禁止。SCISCISCISCISCISCISCISCI控制寄存器控制寄存器控制寄存器控制寄存器1-SCICR11-SCICR11-SCICR11-SCICR11-SCICR11-SCICR11-SCICR11-SCICR1PT-奇偶校验类型。1=奇校验,0=偶校验。一般此寄存器可以按默认值设置。SCISCISCISCISCISCISCISCI控制寄存器控制寄存器控制寄存器控制寄存器2-SCICR22-SCICR22-SCICR22-SCICR22-SCICR22-SCICR22-SCICR22-SCICR2TIE-传送

95、寄存器空中断使能。1=中断允许,0=中断禁止。参考状态寄存器的TDRE位。由TDRE产生中断请求。TCIE-发送完毕中断使能。1=中断允许,0=中断禁止。参考TC位。RIE-接收数据寄存器满中断使能。1=中断允许,0=中断禁止。参考RDRF和OR位。ILIE-线路空闲中断使能。1=中断允许,0=中断禁止。参考IDLE位。TE-发送器使能。1=发送使能,0=发送禁止。RE-接收器使能。1=接收使能,0=接收禁止。RWU-接收器唤醒位。1=唤醒允许并且关闭接收中断。通常,硬件通过自动清除RWU来唤醒接收器。0=正常模式。SBK-传输终止位。1=发送终止符,0=不发送。SCISCISCISCISCI

96、SCISCISCI状态寄存器状态寄存器状态寄存器状态寄存器1-SCISR11-SCISR11-SCISR11-SCISR11-SCISR11-SCISR11-SCISR11-SCISR1这个寄存器的TDRE,TC,RDRF,IDLE和OR位跟控制寄存器2有关,控制寄存器2中的对应位为中断使能位,状态寄存器1中的位为中断状态位。TDRE-发送数据寄存器空标志。当数据由SCI数据寄存器移动到SCI移位寄存器中时,TDRE=1。然后数据寄存器为空,可以接收新数据。通过读SCISR1 然后写SCIDRL 来清TDRE。TCTCTCTC-发送完成标志。当TC=1 时,读SCISR1 然后写SCIDRL

97、清除该位。当数据、报头或中止符进入队列并准备发送时,TC 自动被清除。1=发送完毕,0=正在发送。RDRF-接收数据寄存器满标志。1=数据寄存器接收到有效数据,可读;0=数据寄存器中数据暂不可用。IDLE-IDLE-IDLE-IDLE-线路空闲标志。当10 个连续的逻辑1(M=0)或11 个连续的逻辑1(M=1)出现在接收器输入端,IDLE置位。如果IDLE 被清除,在一个空闲条件置位IDLE 前一个有效的帧必须再次置位RDRF位。通过读SCISR1 然后读SCIDRL 来清除IDLE。1=接收空闲;0=上次空闲状态后,接收器还没有处于有效状态。SCISCISCISCISCISCISCISCI

98、状态寄存器状态寄存器状态寄存器状态寄存器1-SCISR11-SCISR11-SCISR11-SCISR11-SCISR11-SCISR11-SCISR11-SCISR1OR-溢出标志。置位条件:在接收下一个数据前软件未读取数据寄存器,在接收到下一帧的停止位后,OR立即置位。移位寄存器中的数据丢失,但不会影响SCIDR中的数据。可以通过读SCISR1 然后读SCIDRL 来清除。1=有溢出,0=无溢出。NF-噪声标志。1=有噪声,0=无噪声。通过读SCISR1 然后读SCIDRL 来清除NF 标志。FE-接收器帧错误标志。当接收到的停止位是0 时,FE=1。通过SCISR1 然后读SCIDRL

99、来清除FE。FE 禁止进一步的数据接收直到FE 清除。 1=检测到帧错误。 0=未检测到帧错误。PF-奇偶错误标志。当奇偶使能位PE=1 且接收数据的校验位和校验类型不符时,PF=1。读SCISR1 然后读SCIDRL 清除PF。1=检测到奇偶错误。0=未检测到奇偶错误。SCISCISCISCISCISCISCISCI状态寄存器状态寄存器状态寄存器状态寄存器2-SCISR22-SCISR22-SCISR22-SCISR22-SCISR22-SCISR22-SCISR22-SCISR2SCISR2包含2个读写位,1个只读位。BK13-终止符长度。该位决定了发送的中止符长度是10 或11 位还是1

100、3 或14 位。帧错误的检测不影响该位。1=中止符长度是13 或14 位。0=中止符长度是10 或11 位。TXDIR:单线模式下的发送器引脚数据检测。该位决定了TXD 引脚在单线模式下是用来做输入还是输出。该位仅和单线模式有关。1=单线模式下TXD 引脚作为输入。0=单线模式下TXD 引脚作为输出。RAF:接收器有效标志。当接收器在起始位的RT1 时刻检测到逻辑0 时,RAF=1。当接收器检测到空闲字符时RAF 被清除。0=没有接收操作。1=正在接收。SCISCISCISCISCISCISCISCI数据寄存器数据寄存器数据寄存器数据寄存器-SCIDRH-SCIDRH-SCIDRH-SCIDR

101、H-SCIDRH-SCIDRH-SCIDRH-SCIDRH(L L L L L L L L)任意可读,读操作的是SCI 接收寄存器;任意可写,写的是SCI 发送数据寄存器,写R8 位无效。R8R8R8R8-接收位当SCI 配置成9 位数据格式(M=1)时,R8 是接收数据的第九位。T8T8T8T8-发送位当SCI 配置成9 位数据格式(M=1)时,T8 是发送数据的第九位。SCISCISCISCISCISCISCISCI数据寄存器数据寄存器数据寄存器数据寄存器-SCIDRH-SCIDRH-SCIDRH-SCIDRH-SCIDRH-SCIDRH-SCIDRH-SCIDRH(L L L L L L L L)R7:0R7:0R7:0R7:0:接收位接收的数据位。T7:0T7:0T7:0T7:0:发送位发送的数据位。注意,读写时跟C语言的读写操作是一样的。需要定义字节型变量。注:如果T8 的值和前面发送的一样,T8 就不必重新写入。直到重新写入不同的值,T8才被更新。在8 位数据模式中,只有SCIDRL 需要访问。在9 位数据模式中,用8 位写指令操作时,要先写SCIDRH,后写SCIDRL。SCISCISCISCISCISCISCISCI示例见课本相关章节示例见课本相关章节示例见课本相关章节示例见课本相关章节

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号