数电第四章ppt课件

上传人:M****1 文档编号:568611610 上传时间:2024-07-25 格式:PPT 页数:111 大小:5.24MB
返回 下载 相关 举报
数电第四章ppt课件_第1页
第1页 / 共111页
数电第四章ppt课件_第2页
第2页 / 共111页
数电第四章ppt课件_第3页
第3页 / 共111页
数电第四章ppt课件_第4页
第4页 / 共111页
数电第四章ppt课件_第5页
第5页 / 共111页
点击查看更多>>
资源描述

《数电第四章ppt课件》由会员分享,可在线阅读,更多相关《数电第四章ppt课件(111页珍藏版)》请在金锄头文库上搜索。

1、4 组合逻辑电路4.1组合逻辑电路的分析组合逻辑电路的分析4.2组合逻辑电路的设计组合逻辑电路的设计4.3组合逻辑电路中的竞争冒险组合逻辑电路中的竞争冒险4.4若干典型的组合逻辑集成电路若干典型的组合逻辑集成电路4.5组合组合可编程逻可编程逻辑器件辑器件下一页下一页上一页上一页教学基本要求教学基本要求1.熟练掌握组合逻辑电路的分析方法和设计方法。熟练掌握组合逻辑电路的分析方法和设计方法。2.掌握编码器、译码器、数据选择器、数值比较器和掌握编码器、译码器、数据选择器、数值比较器和加法器的逻辑功能及其应用。加法器的逻辑功能及其应用。3.学会阅读学会阅读MSI器件的功能表,并能根据设计要求完器件的功

2、能表,并能根据设计要求完成电路的正确连接。成电路的正确连接。4.掌握可编程逻辑器件的表示方法掌握可编程逻辑器件的表示方法,会用会用PLD实现实现组合逻辑电路。组合逻辑电路。下一页下一页上一页上一页组合逻辑电路的一般框图组合逻辑电路的一般框图Li = f (A1, A2 , , An )(i=1,2, m)工作特征工作特征: :组合逻辑电路工作特点组合逻辑电路工作特点: :在任何时刻,电路的输出状态只取在任何时刻,电路的输出状态只取决于同一时刻的输入状态而与电路原来的状态无关。决于同一时刻的输入状态而与电路原来的状态无关。 序序 关于组合逻辑电路关于组合逻辑电路结构特征结构特征:1.输出、输入之

3、间没有反馈延迟通路输出、输入之间没有反馈延迟通路2.不含记忆单元不含记忆单元下一页下一页上一页上一页4.1 组合逻辑电路的分析(1) 由逻辑图写出各输出端的逻辑表达式;由逻辑图写出各输出端的逻辑表达式;(2) 化简和变换各逻辑表达式;化简和变换各逻辑表达式;(3) 列出真值表;列出真值表;(4) 根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其逻辑功能。逻辑功能。分析的目的:是为了确定电路的的逻辑功能。分析的目的:是为了确定电路的的逻辑功能。分析的目的:分析的目的:分析的步骤:分析的步骤:例例: :已知逻辑电路如图所示,分析该电路的功能。

4、已知逻辑电路如图所示,分析该电路的功能。解:解:1.根据逻辑图,写出输出逻辑表达式根据逻辑图,写出输出逻辑表达式下一页下一页上一页上一页1000011101111000111011101001110010100000CBA2.列写真值表。列写真值表。3.确定逻辑功能:确定逻辑功能: 电路具有为奇校验功能电路具有为奇校验功能解:解:1.根据逻辑图,写出输出逻辑表达式根据逻辑图,写出输出逻辑表达式4.1 组合逻辑电路的分析下一页下一页上一页上一页例例: :一个双输入端、双输出端的一个双输入端、双输出端的组合逻辑电路如图所示,分析该组合逻辑电路如图所示,分析该电路的功能。电路的功能。输 入输 出AB

5、SC00011011逻辑功能是一个半加器逻辑功能是一个半加器解:解:00101001 Z2Z3SB A Z1C& &1 4.1 组合逻辑电路的分析下一页下一页上一页上一页(1)根据逻辑功能要求,进行逻辑定义,列真值表;根据逻辑功能要求,进行逻辑定义,列真值表;(2)由真值表写逻辑表达式;由真值表写逻辑表达式;(3)化简、变换表达式化简、变换表达式;(4)画出逻辑电路图。画出逻辑电路图。设计出满足一定逻辑要求的电路,力求电路简单,所用器件最少设计出满足一定逻辑要求的电路,力求电路简单,所用器件最少设计的目的:设计的目的:设计的步骤:设计的步骤:例例:设计一个三人表决电路,该电设计一个三人表决电路

6、,该电路输入为路输入为A、B、C,输出是,输出是L。当输入有两个或两个以上同意当输入有两个或两个以上同意时,表决通过。否则不能通过。时,表决通过。否则不能通过。用用与非门与非门设计该表决电路。设计该表决电路。LABC+5V逻辑逻辑电路电路4.2 组合逻辑电路的设计下一页下一页上一页上一页LABC+5V逻辑逻辑电路电路解:解:1)进行逻辑定义,据题意可列出真值表。进行逻辑定义,据题意可列出真值表。假设开关闭合表示同意(逻辑假设开关闭合表示同意(逻辑1表示),否则表示反对(逻辑表示),否则表示反对(逻辑0表示);通过用表示);通过用L输出高电平(逻辑输出高电平(逻辑1表示),不通过表示),不通过L

7、输出低电平输出低电平(逻辑(逻辑0表示)。表示)。ABC L000001010011100101110111000101114.2 组合逻辑电路的设计下一页下一页上一页上一页解:解:1)进行逻辑定义,据题意可列出真值表;进行逻辑定义,据题意可列出真值表;假设开关闭合表示同意(逻辑假设开关闭合表示同意(逻辑1表示),否则表示反对(逻辑表示),否则表示反对(逻辑0表示);通过用表示);通过用L输出高电平(逻辑输出高电平(逻辑1表示),不通过表示),不通过L输出低电平输出低电平(逻辑(逻辑0表示)。表示)。2)由真值表写出逻辑表达式;由真值表写出逻辑表达式;3)化简化简(公式法、卡诺图法公式法、卡诺

8、图法),变换;,变换;与门、或门与门、或门与非门与非门4.2 组合逻辑电路的设计ABC L00000101001110010111011100010111下一页下一页上一页上一页解:解:1)进行逻辑定义,据题意可列出真值表;进行逻辑定义,据题意可列出真值表;假设开关闭合表示同意(逻辑假设开关闭合表示同意(逻辑1表示),否则表示反对(逻辑表示),否则表示反对(逻辑0表示);通过用表示);通过用L输出高电平(逻辑输出高电平(逻辑1表示),不通过表示),不通过L输出低电平输出低电平(逻辑(逻辑0表示)。表示)。2)由真值表写出逻辑表达式;由真值表写出逻辑表达式;3)化简化简(公式法、卡诺图法公式法、

9、卡诺图法),变换;,变换;与门、或门与门、或门与非门与非门AB C& &L4.2 组合逻辑电路的设计下一页下一页上一页上一页解:解:例例:试用试用2输入输入与非门与非门和和反相器反相器设计一个三输入(设计一个三输入(I0、I1、I2)、三输出(三输出(L0、L1、L2)的信号排队电路的信号排队电路。它的功能是:。它的功能是:当当I0、I1、I2均为,则均为,则L0、L1、L2也均为也均为;当输入当输入I0为时,无论为时,无论I1和和I2为还是,输出为还是,输出L0=,L1=L2为为0;当当I0为且为且I1为,无论为,无论I2为还是,输出为还是,输出L1=,L1=L2为为0;当当I2为且另外两个

10、均为时,输出为且另外两个均为时,输出L2=,L1=L00。I0I1I2L0L1 L21)据题意可列出真值表;)据题意可列出真值表;0000001XX01001X1000010012)写出逻辑表达式;)写出逻辑表达式;3)逻辑变换;)逻辑变换;4.2 组合逻辑电路的设计下一页下一页上一页上一页I0 1& 1 1 1& 1I1I2L0L1L2例例:试用试用2输入输入与非门与非门和和反相器反相器设计一个三输入(设计一个三输入(I0、I1、I2)、三输出(三输出(L0、L1、L2)的信号排队电路的信号排队电路。它的功能是:。它的功能是:当当I0、I1、I2均为,则均为,则L0、L1、L2也均为也均为;

11、当输入当输入I0为时,无论为时,无论I1和和I2为还是,输出为还是,输出L0=,L1=L2为为0;当当I0为且为且I1为,无论为,无论I2为还是,输出为还是,输出L1=,L2=L3为为0;当当I2为且另外两个均为时,输出为且另外两个均为时,输出L2=,L1=L30。4)画电路图)画电路图4.2 组合逻辑电路的设计下一页下一页上一页上一页输入X3 X2 X1 X0输出(Yi=gi+bi)(C=1) g3 g2 g1 g0(C=0) b3 b2 b1 b0000000010010001101000101011001111000100110101011110011011110111100000001

12、001100100110011101010100110011011111111010101011100110000000000100110010011101100100010111111110110011011000100110111010例例: :设计一可逆设计一可逆4位码变位码变换器,在控制信号换器,在控制信号C=1时将时将8421码转换为格雷码转换为格雷码;码;C=0时将格雷码转时将格雷码转换为换为8421码。码。解:解:1 1)列真值表)列真值表C=1;X是输入是输入(8421码码);g为输出,输出格为输出,输出格为雷码。为雷码。C=0;X仍是输入仍是输入(格雷格雷码码),b为输出,输

13、出为输出,输出为为8421码。码。4.2 组合逻辑电路的设计下一页下一页上一页上一页输入输入X3X2X1X0输出输出(Yi=gi+bi)(C=1) g3g2g1g0(C=0) b3 b2 b1 b00000000100100011010001010110011110001001101010111100110111101111000000010011001001100111010101001100110111111110101010111001100000000001001100100111011001000101111111101100110110001001101110102 2)写出表达式

14、)写出表达式1 1 1 11 1 1 1X3X2X1X0g30 0 0 00 0 0 0m8m9m15.4.2 组合逻辑电路的设计下一页下一页上一页上一页(1 1)用异或门代替与门、或门)用异或门代替与门、或门使电路简洁;使电路简洁;(2 2)可利用某些输出作为另些输入的条件来简化电路;)可利用某些输出作为另些输入的条件来简化电路;注意:注意:2 2)写出表达式)写出表达式3 3)画逻辑图)画逻辑图4.2 组合逻辑电路的设计下一页下一页上一页上一页竞争竞争: :在组合电路中,信号经由不同的路径达到某一会合点的时间在组合电路中,信号经由不同的路径达到某一会合点的时间有先有后有先有后的现象的现象;

15、冒险冒险: :因竞争而产生输出干扰脉冲的现象;因竞争而产生输出干扰脉冲的现象;表现为输出端出现了原表现为输出端出现了原设计中没有的窄脉冲,常称其为毛刺设计中没有的窄脉冲,常称其为毛刺4.3 组合逻辑电路中的竞争和冒险 信号从输入端经不同路经传到输出级时,因门的级数不同或门信号从输入端经不同路经传到输出级时,因门的级数不同或门电路延迟时间的差异,可能会使逻辑电路产生错误输出,把这一现电路延迟时间的差异,可能会使逻辑电路产生错误输出,把这一现象称象称竞争冒险。竞争冒险。3.5.1产生竞争冒险的原因产生竞争冒险的原因LA A &1G2G1A L下一页下一页上一页上一页ABCCACBCL C由由1变变

16、0时,时,C由由0变变1有一延迟时间,使有一延迟时间,使AC、BC同时为零同时为零L出现负跳变的尖脉冲。出现负跳变的尖脉冲。4.3 组合逻辑电路中的竞争和冒险 信号从输入端经不同路经传到输出级时,因门的级数不同或门信号从输入端经不同路经传到输出级时,因门的级数不同或门电路延迟时间的差异,可能会使逻辑电路产生错误输出,把这一现电路延迟时间的差异,可能会使逻辑电路产生错误输出,把这一现象称象称竞争冒险。竞争冒险。 结论:电路中存在由反相器产生的互补信号,结论:电路中存在由反相器产生的互补信号, 且在互补信号的且在互补信号的状态发生变化时可能产生冒险现象。状态发生变化时可能产生冒险现象。下一页下一页

17、上一页上一页代数法代数法 如果逻辑函数总可以化成:如果逻辑函数总可以化成: ,即逻辑表达式即逻辑表达式中含有互补变量,该变量发生变化时则可能引起冒险现象。中含有互补变量,该变量发生变化时则可能引起冒险现象。卡诺图法卡诺图法ABC010001111000001111 如函数卡诺图上有包围圈相切,且相切处又无其他圈包含,则可如函数卡诺图上有包围圈相切,且相切处又无其他圈包含,则可能有能有冒险发生。冒险发生。4.3 组合逻辑电路中的竞争和冒险竞争冒险现象的判断竞争冒险现象的判断下一页下一页上一页上一页利用冗余项消除互补变量利用冗余项消除互补变量 只要在卡诺图两圈相切处增只要在卡诺图两圈相切处增加一个

18、圈(冗余),就能消除竞加一个圈(冗余),就能消除竞争冒险现象。争冒险现象。0000ABC01000111101111逻辑函数逻辑函数L=AC+BC冗余项冗余项4.3 组合逻辑电路中的竞争和冒险竞争冒险现象的消除竞争冒险现象的消除下一页下一页上一页上一页在输出端加小电容在输出端加小电容C可以消除由于竞争冒险产生的毛刺。但输可以消除由于竞争冒险产生的毛刺。但输出波形的前后沿将变坏出波形的前后沿将变坏,在对波形要求较严格时,应再加整形电路。在对波形要求较严格时,应再加整形电路。输出端并联电容吸收尖峰脉冲输出端并联电容吸收尖峰脉冲4.3 组合逻辑电路中的竞争和冒险竞争冒险现象的消除竞争冒险现象的消除4

19、.4若干典型的组合逻辑集成电路若干典型的组合逻辑集成电路4.4.1编码器编码器4.4.2译码器译码器/数据分配器数据分配器4.4.3数据选择器数据选择器4.4.4数值比较器数值比较器4.4.5算术运算电路算术运算电路下一页下一页上一页上一页 编码:编码:把二进制代码按照一定的规律排列,赋予每个二把二进制代码按照一定的规律排列,赋予每个二进制码以特定含义的过程称为编码。进制码以特定含义的过程称为编码。如:如:8421BCD码中用码中用0101表示十进制数字表示十进制数字5。如:如:ASCII码中用码中用1000001表示字母表示字母A等。等。 编码器:编码器:具有编码功能的逻辑电路。能将每一组输

20、入信息具有编码功能的逻辑电路。能将每一组输入信息变换为相应二进制的代码输出。变换为相应二进制的代码输出。4 4线线-2-2线线: :将输入的将输入的4个状态分别编成个状态分别编成4个个2位二进制数码输出;位二进制数码输出;8 8线线-3-3线线: :将输入的将输入的8个状态分别编成个状态分别编成8个个3位二进制数码输出;位二进制数码输出;BCDBCD编码器编码器: :将将10个输入分别编成个输入分别编成10个个4位位8421BCD码输出。码输出。1.编码器编码器(Encoder)的概念与分类的概念与分类4.4.1编码器编码器4.4 若干典型的组合逻辑集成电路若干典型的组合逻辑集成电路下一页下一

21、页上一页上一页编码器的分类:编码器的分类:普通编码器和优先编码器。普通编码器和优先编码器。普通编码器:普通编码器:任何时候只允许输入一个有效编码信号,否则任何时候只允许输入一个有效编码信号,否则输出就会发生混乱。输出就会发生混乱。优先编码器:优先编码器:允许同时输入两个以上的有效编码信号。当同允许同时输入两个以上的有效编码信号。当同时输入几个有效编码信号时,优先编码器能按预先设定的优时输入几个有效编码信号时,优先编码器能按预先设定的优先级别,只对其中优先权最高的一个进行编码。先级别,只对其中优先权最高的一个进行编码。1)编码器)编码器(Encoder)的概念与分类的概念与分类4.4.1编码器编

22、码器下一页下一页上一页上一页四路输入四路输入二位代二位代码输出码输出(1)普通编码器 4 线2线编码器下一页下一页上一页上一页四四输输入入二二进进制制码码输输出出I0 I1I2I3Y1Y0100000010001001010000111编码器的输入为高电平有效编码器的输入为高电平有效,且任且任何时刻有且仅有一个输入为高电平何时刻有且仅有一个输入为高电平逻辑符号逻辑符号逻辑功能表逻辑功能表(1)普通编码器 4 线2线编码器下一页下一页上一页上一页输入信号I0I3是高电平有效高电平有效I0 I1I2I3Y1Y01000000100010010100001110000000000(1)普通编码器 4

23、 线2线编码器下一页下一页上一页上一页 能识别多个编码请求信号的优先级别,对优先级别最高能识别多个编码请求信号的优先级别,对优先级别最高的请求进行相应编码。的请求进行相应编码。4线线-2线优先编码器线优先编码器优先级?优先级?I0 I1I2I3Y1Y0100000010001001010000111I0 I1I2I3Y1Y0100000X10001XX1010XXX1114线线-2线编码器线编码器I3I2I1I0无关项无关项(2)优先编码器 4 线2线编码器I01,I1 I2 I3均为0时:Y1Y0?I0 I1 I2 I3均为0时, Y1Y0?Y1Y000Y1Y000两个两个0000无法区分无

24、法区分下一页下一页上一页上一页十个按键和门电路组成的8421BCD码编码器11000000仅仅S S1 1闭合,闭合,ABCD=0001ABCD=0001,GS=1GS=111011110011仅仅S S7 7闭合,闭合,ABCD=0111ABCD=0111,GS=1GS=1下一页下一页上一页上一页无键盘闭合,无键盘闭合,ABCD=0000,ABCD=0000,GS=0GS=0000000000无键闭合,无键闭合,ABCD=ABCD=?,GS=,GS=?11仅仅S S0 0键闭合,键闭合,ABCD=ABCD=?,GS=,GS=?01仅仅S S0 0键闭合,键闭合,ABCD=0000,ABCD=

25、0000,GS=1GS=1两个两个00000000由由GSGS来区分来区分十个按键和门电路组成的8421BCD码编码器下一页下一页上一页上一页输输入入输输出出S0S1S2S3S4S5S6S7S8S9ABCDGS111111111100000111111111010011111111110110001111111101101111111111011101101111110111101011111101111101001111011111100111110111111100101101111111100011011111111100001该编码器为低电平输入有效该编码器为低电平输入有效下一页下一页

26、上一页上一页11111111S S7 7 S S9 9键同时闭合,键同时闭合,ABCD=1111ABCD=1111x多个键不多个键不能同时按能同时按下,否则下,否则出错,因出错,因此不具备此不具备优先识别优先识别功能功能下一页下一页上一页上一页优先编码器优先编码器CD4532的示意框图、引脚图的示意框图、引脚图2集成电路编码器集成电路编码器下一页下一页上一页上一页输输入入输输出出EII7I6I5I4I3I2I1I0Y2Y1Y0GSEOLLLLLLHLLLLLLLLLLLLHHHHHHHLHLHHHLHLHLLHHLHHLHLLLHHLLHLHLLLLHLHHHLHLLLLLHLHLHLHLLL

27、LLLHLLHHLHLLLLLLLHLLLHLEI=0,电路不电路不工工,GS=EO=0,Y2Y1Y0=000EI=1,电路工作电路工作,输入输入I0I7分别有高电平输入分别有高电平输入(即有编码请求)(即有编码请求)时时,Y2Y1Y0为对应的编码输出为对应的编码输出,GS=1,EO=0EI=1,电路,电路工作,输入工作,输入I0I7中没有高中没有高电平输入时电平输入时(即没有编(即没有编码请求)码请求)Y2Y1Y0000GS=0,EO=1优先编码器优先编码器CD4532CD4532功能表功能表下一页下一页上一页上一页CD4532电路图电路图EO:输出使能端,只有EI=1,且所有输入都为0时,

28、EO才为1,否则为0I0I7:输入信号,高电平有效EI:输入使能端,高电平有效为1时编码器工作,为0时,无论8个输入状态如何,输出信号Y2Y1Y0均为低电平111,且EO=GS=0GS:优先编码工作状态标志,为1表明编码器处于工作状态,否则为0Y2Y1Y0 :编码输出,高电平有效下一页下一页上一页上一页I7I6I5I4I3I2I1I0Y22Y21Y20GS2EO2EI2CD4532(2)CD4532(2)Y12Y11Y10GS1EO1EI1CD4532(1)CD4532(1)GSABCDEOEI21例例4.4.2用二片用二片CD4532构成构成16位输入、位输入、4位二进制码输出的优先编码位二

29、进制码输出的优先编码器如图所示,试分析其工作原理。器如图所示,试分析其工作原理。I7I6I5I4I3I2I1I0I15I14I13I12I11I10I9I8I7I6I5I4I3I2I1I000000000000000000111下一页下一页上一页上一页I7I6I5I4I3I2I1I0Y22Y21Y20GS2EO2EI2CD4532(2)CD4532(2)Y12Y11Y10GS1EO1EI1GSABCDEOEI2I7I6I5I4I3I2I1I0I15I14I13I12I11I10I9I8I7I6I5I4I3I2I1I01若若I I1515I I8 8无编码请求无编码请求00001若若I I8 8

30、 I I0 0有编码请求有编码请求CD4532(1)CD4532(1)0111001101例例4.4.2用二片用二片CD4532构成构成16位输入、位输入、4位二进制码输出的优先编码位二进制码输出的优先编码器如图所示,试分析其工作原理。器如图所示,试分析其工作原理。1111下一页下一页上一页上一页I7I6I5I4I3I2I1I0Y22Y21Y20GS2EO2EI2CD4532(2)CD4532(2)Y12Y11Y10GS1EO1EI1GSABCDEOEII7I6I5I4I3I2I1I0D15D14D13D12D11D10D9D8D7D6D5D4D3D2D1D01若若D15D8有编码请求有编码请

31、求10110若若D8D0也有编码请求,则请求无效也有编码请求,则请求无效CD4532(1)CD4532(1)0000010111例例4.4.2用二片用二片CD4532构成构成16位输入、位输入、4位二进制码输出的优先编码位二进制码输出的优先编码器如图所示,试分析其工作原理。器如图所示,试分析其工作原理。1111下一页下一页上一页上一页Y31练习:练习:由由1片优先编码器片优先编码器CD4532和与非门、非门构成的电路如图所示,和与非门、非门构成的电路如图所示,试分析其工作原理。试分析其工作原理。I7I6I5I4I3I2I1I0Y2Y1Y0GSEOEICD4532D9D81Y2Y1Y0D7D6D

32、5D4D3D2D1D0答:答:8421BCD8421BCD编码器编码器1下一页下一页上一页上一页n个输个输入端入端1 1个使能个使能输入端输入端2n个输个输出端出端 将一系列代码转换成与之一一对应的有将一系列代码转换成与之一一对应的有效信号。如计算机中对存储器单元地址的译码。效信号。如计算机中对存储器单元地址的译码。译码是编码的逆过程,即将某个二进制码翻译成特定的信号,译码是编码的逆过程,即将某个二进制码翻译成特定的信号,即电路的某种状态。即电路的某种状态。具有译码功能的逻辑电路称为译码器。具有译码功能的逻辑电路称为译码器。译码器的分类唯一地址译码器唯一地址译码器: : 代码变换器代码变换器:

33、 : 将一种代码转换成另一种代码,即代码转换器。将一种代码转换成另一种代码,即代码转换器。1 1 译码器的定义与分类译码器的定义与分类4.4.2 译码器译码器/ /数据分配器数据分配器下一页下一页上一页上一页输输入入输输出出EIABY0Y1Y2Y3HHHHHLLLLHHHLLHHLHHLHLHHLHLHHHHHL功能表功能表译码是编码的逆过程,即将某个二进制码翻译成特定的信译码是编码的逆过程,即将某个二进制码翻译成特定的信号,即电路的某种状态。号,即电路的某种状态。具有译码功能的逻辑电路称为译码器。具有译码功能的逻辑电路称为译码器。下一页下一页上一页上一页74HC139集成译码器集成译码器 (

34、1)二进制译码器二进制译码器LHHHHHLHLHHLHLHHLHHLLHHHLLLLHHHHHY3Y2Y1Y0A0A1E输输出出输输入入功能表功能表下一页下一页上一页上一页逻辑符号说明逻辑符号说明Y0Y2Y1Y3EA1A0逻辑符号框外部的逻辑符号框外部的符号,表示符号,表示外部输入或输出信号外部输入或输出信号名称,字名称,字母上面的母上面的“”号说明该输入号说明该输入或输出是低电平有效。符号框或输出是低电平有效。符号框内部的输入、输出变量表示其内部的输入、输出变量表示其内部的逻辑关系。内部的逻辑关系。下一页下一页上一页上一页74HC138(74LS138)集成译码器集成译码器 引脚图引脚图逻辑

35、图逻辑图下一页下一页上一页上一页74HC138集成译码器逻辑功能表输 入输 出 E3 A2 A1 A0 HHHHHHHHHXHHHHHHHHHLHHHHHHHHHLLLLLLHHHHHHHHLLLLHHLHHHHHHHLLLHLHHLHHHHHHLLLHHHHHLHHHHHLLHLLHHHHLHHHHLLHLHHHHHHLHHHLLHHLHHHHHHLHHLLHHHHHHHHHHL1 1个个3 38 8译码器能产生三变量函数的全部最小项译码器能产生三变量函数的全部最小项下一页下一页上一页上一页74HC138集成译码器集成译码器逻辑图逻辑图使能端有效时使能端有效时,若若CBA=101,则则Y5=

36、0,其其他输出为他输出为1。Y0Y7低电平时为有效低电平时为有效输出;即以反码的形式输出;即以反码的形式出现。出现。 :输入使能端输入使能端,使能端无效使能端无效则输出全为则输出全为高电平高电平1。下一页下一页上一页上一页译码器的扩展译码器的扩展用用74X139和和74X138构成构成5线线-32线译码器线译码器下一页下一页上一页上一页所以所以,用该器件能够方便地实现三变量逻辑函数。用该器件能够方便地实现三变量逻辑函数。 用译码器实现逻辑函数。用译码器实现逻辑函数。.当当E3=1,E2=E1=0时时3 3线线8 8线译码器的线译码器的 含三变量函数的全部最小项。含三变量函数的全部最小项。Y Y

37、0 0Y Y7 7下一页下一页上一页上一页用一片用一片74HC138实现函数实现函数首先将函数式变换为最小项之和的形式首先将函数式变换为最小项之和的形式在译码器的输出端加一个与非门,即可实现给定的组合逻辑函在译码器的输出端加一个与非门,即可实现给定的组合逻辑函数。数。下一页下一页上一页上一页数据分配器:数据分配器:相当于多输出的单刀多掷开关,是一种能将相当于多输出的单刀多掷开关,是一种能将从数据分时送到多个不同的通道上去的逻辑电路。从数据分时送到多个不同的通道上去的逻辑电路。数据分配器示意图数据分配器示意图用用74HC138组成组成数据分配器数据分配器下一页下一页上一页上一页用译码器实现数据分

38、配器用译码器实现数据分配器 010当当ABC =010时,时,Y2=DCBA下一页下一页上一页上一页将将8421BCD8421BCD码译成为码译成为1010个状态输出个状态输出4个个输输入入端端10个个输输出出端端2. 7442二十进制译码器7442引脚图引脚图下一页下一页上一页上一页十十进进制数制数BCD输输入入输输出出A3A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7Y8Y90LLLLLHHHHHHHHH1LLLHHLHHHHHHHH2LLHLHHLHHHHHHH3LLHHHHHLHHHHHH4LHLLHHHHLHHHHH5LHLHHHHHHLHHHH6LHHLHHHHHHLHHH7LHHH

39、HHHHHHHLHH8HLLLHHHHHHHHLH9HLLHHHHHHHHHHL将将8421BCD8421BCD码译成为码译成为1010个状态输出个状态输出2. 7442二十进制译码器下一页下一页上一页上一页显示译码器显示译码器 下一页下一页上一页上一页bcdfe常用的显示器有:半导体发光二极管和液晶显示器常用的显示器有:半导体发光二极管和液晶显示器共阳极显示器共阳极显示器共阴极显示器共阴极显示器显示器分段布局图显示器分段布局图ag3. 七段显示译码器下一页下一页上一页上一页abcdfg1111110e共阴极显示器共阴极显示器0110000110110101111110101100002110

40、1101 十进制数十进制数 译码输出译码输出3. 七段显示译码器下一页下一页上一页上一页011111101011000021101101 000000010010 十进制数十进制数译码输出译码输出BCD码码3. 七段显示译码器abcfgeCMOS七段显示译码器七段显示译码器74HC4511 下一页下一页上一页上一页LTHHLHHHHHLLHHHL9HHHHHHHLLLHHHL8LLLLHHHHHHLHHL7HHHHHLLLHHLHHL6HHLHHLHHLHLHHL5HHLLHHLLLHLHHL4HLLHHHHHHLLHHL3HLHHLHHLHLLHHL2LLLLHHLHLLLHHL1LHHHH

41、HHLLLLHHL0gfedcba字形字形输输出出输输入入十进十进制或功能制或功能D3D2D1D0BLLECMOS七段显示译码器七段显示译码器74HC4511功能表功能表下一页下一页上一页上一页*HHH锁锁存存熄灭熄灭LLLLLLLHL灭灭灯灯HHHHHHHL灯灯测测试试熄灭熄灭LLLLLLLHHHHHHL15熄灭熄灭LLLLLLLLHHHHHL14熄灭熄灭LLLLLLLHLHHHHL13熄灭熄灭LLLLLLLLLHHHHL12熄灭熄灭LLLLLLLHHLHHHL11熄灭熄灭LLLLLLLLHLHHHL10LT g f e d c b a字形字形输输出出输输入入十进制十进制或功能或功能BLLE

42、D3D2D1D0CMOS七段显示译码器七段显示译码器74HC4511功能表功能表(续续)下一页下一页上一页上一页例:由例:由74HC451174HC4511构成构成2424小时及分钟的译码电路如图所示,试分小时及分钟的译码电路如图所示,试分析小时高位是否具有零熄灭功能。析小时高位是否具有零熄灭功能。下一页下一页上一页上一页数数据据输输出出数数据据输输入入Yn n位地址选择信号位地址选择信号1DD0D2-1n数据选择器:数据选择器:根据地址选择码从多路输入数据中选择一路,送根据地址选择码从多路输入数据中选择一路,送给输出。给输出。数数据据选选择择器器D0D1YAn1A1A04.4.3 数据选择器

43、数据选择器下一页下一页上一页上一页4选选1数据选择器数据选择器数据数据 输入端输入端地址码地址码 输入端输入端使能端使能端 低电平有效低电平有效数据数据 输出端输出端数据选择器:数据选择器:根据地址选择码从多路输入数据中选择一路,送根据地址选择码从多路输入数据中选择一路,送给输出。给输出。4.4.3 数据选择器数据选择器下一页下一页上一页上一页数据选择器:数据选择器:根据地址选择码从多路输入数据中选择一路,送根据地址选择码从多路输入数据中选择一路,送给输出。给输出。输 入输出使能地址S1S0Y1 X X 0功能表功能表1000 0111D30 0 0 D00 10 0 1 D10 1 0 D2

44、0 1 1 D31 01 14.4.3 数据选择器数据选择器下一页下一页上一页上一页数据数据 输入端输入端地址码地址码 输入端输入端使能端使能端2 2个互补个互补输出端输出端74HC151的逻辑图的逻辑图下一页下一页上一页上一页输 入输 出使 能选 择YS2S1S0HXXXLHLLLLD0LLLHD1LLHLD2LLHHD3LHLLD4LHLHD5LHHLD6LHHHD774HC15174HC151逻辑功能表逻辑功能表YD0D1D2D3D4D5D6D7S0S2S1EY74HC151当当=0时,时,Y的表达式为的表达式为:当当=1时,时,Y=0,输出无效,输出无效下一页下一页上一页上一页74HC

45、151ED7D6D5D4D3D2D1D0YLS2S1 S074HC151ED7D6D5D4D3D2D1D0YLS2S1 S0S2S1 S0实现了位数扩展,能同时选择两位数据。实现了位数扩展,能同时选择两位数据。下一页下一页上一页上一页74HC151ED7D6D5D4D3D2D1D0YLS2S1 S074HC151ED7D6D5D4D3D2D1D0YLS2S1 S010011 Y0DiDiS2S1 S0 =0,左片使能有效,左片译码器正常工作,选择左片的数据输出;,左片使能有效,左片译码器正常工作,选择左片的数据输出;下一页下一页上一页上一页74HC151ED7D6D5D4D3D2D1D0YLS

46、2S1 S0W74HC151ED7D6D5D4D3D2D1D0YLS2S1 S0Y11101 Y0DiDi将使能端用将使能端用S3来来代替,则该电路可以代替,则该电路可以看作是一个看作是一个16选选1数据数据选择器。选择器。S2S1 S0S3 =0,左片使能有效,左片译码器正常工作,选择左片的数据输出;,左片使能有效,左片译码器正常工作,选择左片的数据输出; =0,左片使能有效,右片译码器正常工作,选择右片的数据输出;,左片使能有效,右片译码器正常工作,选择右片的数据输出;下一页下一页上一页上一页74HC151ED7D6D5D4D3D2D1D0YLS2S1 S0 11101000A B C用数

47、据选择器也可以用数据选择器也可以实现逻辑函数实现逻辑函数思考:怎样用思考:怎样用1 1片片7415174151实现四变量逻辑函数?实现四变量逻辑函数?下一页下一页上一页上一页001010011100101110111=D1=1=D2=0=D3=0=D4=1=D5=1=D6=0=D7=1并行输入并行输入串行输出串行输出Q2Q1Q0000,001,010111L=D0D1D2D3D4D5D6D701001101并行数据输入并行数据输入串行数据输出串行数据输出下一页下一页上一页上一页两个两个1位二进制数位二进制数A、BABABFAB1B0A1A0BFAB1 1 0 0X A1B0 A1=B1 0 1

48、 0A0BFAB3 100A3 B2 100A3=B3A2 B1 100A3=B3A2=B2A1 B0100A3=B3A2=B2A1=B1A0 B0010A3=B3A2=B2A1=B1A0=B0100100A3=B3A2=B2A1=B1A0=B0010010A3=B3A2=B2A1=B1A0=B010014位位集成数值比较器集成数值比较器74LS85真值表真值表IAB IABIAB下一页下一页上一页上一页串联方式扩展数值比较器的位数串联方式扩展数值比较器的位数低低位位的的比比较较结结果果作作为为高高位位的的条条件件。级级联联扩扩展展法法结结构构简简单单,但运算速度低。但运算速度低。FABFAB

49、FABCOMP高位片高位片A4A5A6A7B4B5B6B774LS85FABFABFABIABIABIABCOMP低位片低位片A0A1A2A3B0B1B2B3IAB74LS85FABFABFABIABIAB001(2)数值比较器的位扩展)数值比较器的位扩展下一页下一页上一页上一页COMP3FABFABCOMP4A3B3001A2B2A1B1A0B0COMP2FABCOMP1FABCOMP0FABA0A3B0B3A4A7B4B7A8A11B8B11A12A15B12B15001001001001并联方式扩展数值比较器的位数并联方式扩展数值比较器的位数各组的比较是并行进行的,因此运算速度比级联扩展

50、快。各组的比较是并行进行的,因此运算速度比级联扩展快。FABFABFABFAB FAB FAB(2)数值比较器的位扩展)数值比较器的位扩展下一页下一页上一页上一页加数本位的和向高位的进位 只考虑两个只考虑两个1 1位二进制相加,不考虑来自低位进位的运算电路。位二进制相加,不考虑来自低位进位的运算电路。输入信号:加数输入信号:加数Ai,被加数被加数Bi;输出信号:本位和输出信号:本位和Si,向高位的进位向高位的进位Ci1.半加器和全加器半加器和全加器4.4.5算术运算电路算术运算电路(1 1)半加器)半加器下一页下一页上一页上一页&Ai iBi iCi i1位全加器=1=1Si iC i i1

51、1 全加器真值表1111101011011011000101110100101010000000SiCiBiAiCi11位全加器逻辑符号Ci iCi i1 1Si iAi iBi iCICO1.半加器和全加器半加器和全加器4.4.5算术运算电路算术运算电路(2 2)全加器)全加器下一页下一页上一页上一页由两个半加器构成了全加器由两个半加器构成了全加器1.半加器和全加器半加器和全加器4.4.5算术运算电路算术运算电路下一页下一页上一页上一页如何实现两个四位二进制数相加?如何实现两个四位二进制数相加?A3A2A1A0+B3B2B1B0运算特点:运算特点:低位的进位信号送给邻近高位作为输入信号,任一

52、位的低位的进位信号送给邻近高位作为输入信号,任一位的加法运算必须在低一位的运算完成之后才能进行。加法运算必须在低一位的运算完成之后才能进行。运算速度不高。运算速度不高。2.多位加法器(略)多位加法器(略)4.4.5算术运算电路算术运算电路(1 1)串行进位加法器)串行进位加法器下一页下一页上一页上一页 进位输入是由专门的进位输入是由专门的“进位门进位门”综合所有低位的加数、被加数综合所有低位的加数、被加数及最低位进入输入后来提供。及最低位进入输入后来提供。 即该电路能使每位的进位直接由加数和被加数直接产生,而无即该电路能使每位的进位直接由加数和被加数直接产生,而无需等待与低位的进位信号,称之为

53、需等待与低位的进位信号,称之为“快速加法器快速加法器”或或“超前进位加超前进位加法器法器”。A0B0A1B1A2B2A3B3SSSS3C-1C3C0C1C2FA0FA1FA2FA3C0进位逻辑进位逻辑C1进位逻辑进位逻辑 C2进位逻辑进位逻辑C3进位逻辑进位逻辑A0B0C-1A0B0C-1A1B1A2B2A0B0C-1 A3B3A0B0C-1 21002.多位加法器多位加法器4.4.5算术运算电路算术运算电路(2 2)集成)集成4 4位超前进位加法器位超前进位加法器74HC28374HC283下一页下一页上一页上一页定义两个中间变量定义两个中间变量Gi和和Pi:Gi= AiBi Pi= AiB

54、i产生变量产生变量 传输变量传输变量 全加器真值表1111101011011011000101110100101010000000SiCiBiAiCi1C0= G0+ +P0 C-1C1= G1+ +P1C0= G1+ +P1G0+ P1P0C-1C2= G2+ +P2C1=G2+ +P2G1+ P2P1 G0+ P2P1P0C-1C3= G3+ +P3C2= G3+ +P3G2+P3P2G1+P3P2P1G0+ P3P2 P1P0C-12.多位加法器多位加法器4.4.5算术运算电路算术运算电路(2 2)集成)集成4 4位超前进位加法器位超前进位加法器74HC28374HC283()()下一页

55、下一页上一页上一页1&11&11&11&1&1=1=1=1=111&1&1&1&.P3S0S1S2S3CO(C3)C-1A0B0A1B1A2B2A3B3.C2P2P1P0C1C0C-1由由位位超超前前进进位位全全加加器器逻逻辑辑电电路路可可知知,各各位位进进位位信信号号C1、C2、C3只只与与两两个个加加数数有有关关,是是并并行行产产生生的的,都都只只需需要要经经历历一一级级与与非非门门和和一一级级与与或或非非门门的的延延迟迟时时间间。超超前前进进位位加加法法器器大大大大提提高高了了运运算算速速度。度。4.4.5算术运算电路算术运算电路2.多位加法器多位加法器74HC283电路图电路图下一页下

56、一页上一页上一页逻辑图逻辑图逻辑符号逻辑符号2.多位加法器多位加法器4.4.5算术运算电路算术运算电路(3 3)超前进位产生器)超前进位产生器74HC182(74HC182(略略) )下一页下一页上一页上一页两片两片74LS28374LS283构构成一个成一个8 8位二进位二进制数加法器制数加法器8421码输入码输入余余3码输出码输出74283C1CO0S3S2S1S0A3A2A1A0B3B2B1B00 0 1 12.多位加法器多位加法器4.4.5算术运算电路算术运算电路下一页下一页上一页上一页1.反码和补码反码和补码 同加法运算一样,减法运算可以采用减法器来实现,但在同加法运算一样,减法运算

57、可以采用减法器来实现,但在实际应用中,通常是将减法运算变为加法运算来处理,即采用实际应用中,通常是将减法运算变为加法运算来处理,即采用加补码的方法完成减法运算。加补码的方法完成减法运算。原码:原码: 自然二进制码自然二进制码反码:反码: 将原码中的所有将原码中的所有0变为变为1,所有,所有1变为变为0后的代码后的代码反码与原码的一般关系式:反码与原码的一般关系式:N N反反= =(2 2n n 1 1) N N原原=2n N N原原 1补码:补码:N补补=2n N原原原码:原码:0 0 0 1 0 1反码:反码:1 1 1 0 1 01 1 1 1 1 1补码:补码:1 1 1 0 1 1B补

58、补=2n B原原N反反=N补补 1N补补=N反反1A原原+B补补=A原原+2n B原原=A原原 B原原+2nA原原 B原原=A-B=A原原+B补补-2n26-1=3.减法运算减法运算下一页下一页上一页上一页V借位信号借位信号B3A3B2A2四位加法器四位加法器D3D2D1D0C-111111B1A1B0A0S3S2S1S01A-B=A原原+B补补-2nB补补=B反反11)A B 0的情况的情况A=0101(5),B=0001(1)10100 00100进进位位反反相相CO结果表明结果表明:在在AB 0时时,借位信号为借位信号为0,表示结果为正数,值为表示结果为正数,值为差的原码。差的原码。非门

59、等效实非门等效实现了减现了减2nB补补1000024CO借位借位V3.减法运算减法运算下一页下一页上一页上一页V借位信号借位信号B3A3B2A2四位加法器四位加法器D3D2D1D0C-111111B1A1B0A0S3S2S1S01011000或或1表征值表征值是是正正还是还是负负 111001000024COCO进进位位反反相相借位借位VA-B=A原原+B补补-2nB补补=B反反12)A B0的情况的情况A=0001(1),B=0101(5)非门等效实非门等效实现了减现了减2n结果表明结果表明:在在AB0时时,借位信号为借位信号为1,表示结果为负数表示结果为负数,值为值为差的绝对值的原码差的绝

60、对值的原码在在A-B 0时时,V=0,结果为结果为正数正数,值为差的值为差的原码原码;当当A-B=0AB=0时,时,V=0, V=0, 表示表示结果为结果为正数正数,值为,值为原码原码;2.2.当当A AB0B=0AB=0时,时,V=0, V=0, 表示表示结果为结果为正数正数,值为,值为原码原码;2.2.当当A AB0B0时,时,V=1, V=1, 表示表示结果为结果为负数负数,值为差的,值为差的绝对绝对值的补码值的补码110异或异或D=?1异或异或D=?D只对负数求补只对负数求补D3.减法运算减法运算下一页下一页上一页上一页 选 择 操 作 S2 S1 S0 0 0 0 0 0 1 0 1

61、 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 清零 B减A A减B A加B AB A+B AB 预置 74LS381功能表功能表各数据输出端的状态为各数据输出端的状态为0 0数据输出端处于预定的状数据输出端处于预定的状态态根据输入信号根据输入信号S S2 2S S1 1S S0 0取值的取值的不同,可对两个数进行六不同,可对两个数进行六种算术或逻辑运算种算术或逻辑运算*4.集成算术集成算术/逻辑单元逻辑单元741814.4.5算术运算电路算术运算电路4.5组合可编程逻辑器件组合可编程逻辑器件4.5.1PLD的结构、表示方法及分类的结构、表示方法及分类4.5.2组合逻辑电路的

62、组合逻辑电路的PLD实现实现4.5组合可编程逻辑器件组合可编程逻辑器件可编程逻辑器件是一种可以由用户定义和设置可编程逻辑器件是一种可以由用户定义和设置逻辑功能的器件。该类器件具有逻辑功能实现逻辑功能的器件。该类器件具有逻辑功能实现灵活、集成度高、处理速度快和可靠性高等特点。灵活、集成度高、处理速度快和可靠性高等特点。下一页下一页上一页上一页4.5.1PLD的结构、表示方法及分类的结构、表示方法及分类与门与门阵列阵列或门或门阵列阵列乘积项乘积项和项和项PLD主体主体输入输入电路电路输入信号输入信号互补互补输入输入输出输出电路电路输出函数输出函数反馈输入信号反馈输入信号可由或阵列直接输出,构成组合

63、输出;可由或阵列直接输出,构成组合输出; 通过寄存器输出,构成时序方式输出通过寄存器输出,构成时序方式输出。1.PLD的基本结构的基本结构下一页下一页上一页上一页与门与门阵列阵列或门或门阵列阵列乘积项乘积项和项和项互补输入互补输入下一页下一页上一页上一页2. PLD的的逻辑符号表示方法逻辑符号表示方法(1)(1) 连接的方式连接的方式下一页下一页上一页上一页(2)(2)基本门电路的表示方式基本门电路的表示方式F1=ABC与门与门或门或门A B C DF1 AB C& L AB C1L DF1=A+B+C+D下一页下一页上一页上一页三态输出缓冲器三态输出缓冲器输出恒等于输出恒等于0 0的与门的与

64、门输出为输出为1 1的与门的与门输入缓冲器输入缓冲器下一页下一页上一页上一页(3) (3) 编程连接技术编程连接技术 PLD表示的与门表示的与门熔丝工艺的与门原理图熔丝工艺的与门原理图下一页下一页上一页上一页VCC+(5V) R 3kW L D1 D2 D3 A B C 高电平高电平A、B、C有一个输入低电平有一个输入低电平0VA、B、C三个都输入高电平三个都输入高电平+5V5V0V5V低电平低电平 L VCC A B C D 5V5V5VL=ABC下一页下一页上一页上一页连接连接连接连接连接连接断开断开A、B、C 中有一个为中有一个为0A、B、C 都为都为1输出为输出为0;输出为输出为1。L

65、=AC断开断开连接连接连接连接断开断开L=ABCXX器件的开关状态不同器件的开关状态不同,电路实现逻辑函数也就不同电路实现逻辑函数也就不同101111浮栅浮栅MOS管管下一页下一页上一页上一页(4) (4) 浮浮栅栅MOS管开关管开关用不同的浮栅用不同的浮栅MOS管连接的管连接的PLD,编程信息的擦除方法,编程信息的擦除方法也不同。也不同。SIMOS管连接的管连接的PLD,采用紫外光照射擦除;,采用紫外光照射擦除;FlotoxMOS管和快闪叠栅管和快闪叠栅MOS管,采用电擦除方法。管,采用电擦除方法。浮浮栅栅MOS管管叠栅注入叠栅注入MOS(SIMOS)管管浮栅隧道氧化层浮栅隧道氧化层MOS(

66、FlotoxMOS)管管快闪快闪(Flash)叠栅叠栅MOS管管下一页下一页上一页上一页三、可擦除可编程ROM(EPROM)当浮栅上带有负电荷时,则衬底表面感应的是正当浮栅上带有负电荷时,则衬底表面感应的是正电荷,这使得电荷,这使得MOS管的开启电压变高,如果给控制管的开启电压变高,如果给控制栅加上同样的控制电压,栅加上同样的控制电压,MOS管仍处于截止状态。管仍处于截止状态。SIMOS管利用浮栅是管利用浮栅是否累积有负电荷来存否累积有负电荷来存储二值数据储二值数据存储单元采用存储单元采用N沟道叠栅管沟道叠栅管(SIMOS)。其结构如下:。其结构如下:写入数据前,浮栅不写入数据前,浮栅不带电荷

67、,要想使其带带电荷,要想使其带负电荷,需在漏、栅负电荷,需在漏、栅级上加足够高的电压级上加足够高的电压25V即可即可若想擦除,可用紫外线或若想擦除,可用紫外线或X射线,距管子射线,距管子2厘米处照厘米处照射射15-20分钟分钟当浮栅上没有电荷时,给控制栅加上控制电压,当浮栅上没有电荷时,给控制栅加上控制电压,MOS管导通管导通下一页下一页上一页上一页与与EPROM的区别的区别是:是:浮栅延长区与漏浮栅延长区与漏区区N+之间的交叠之间的交叠处有一个厚度约处有一个厚度约为为80A(埃埃)的薄绝的薄绝缘层。缘层。四、隧道MOS管 E2PROM 可用电擦除信息,以字为单位,速度高,可重可用电擦除信息,

68、以字为单位,速度高,可重复擦写复擦写1万次。万次。下一页下一页上一页上一页与与EPROM的区别是的区别是:1.闪速存储器存储单元闪速存储器存储单元MOS管的源极管的源极N+区大于区大于漏极漏极N+区,而区,而SIMOS管管的源极的源极N+区和漏极区和漏极N+区区是对称的;是对称的;2.浮栅到浮栅到P型衬底间的型衬底间的氧化绝缘层比氧化绝缘层比SIMOS管管的更薄。的更薄。五、快闪存储器 Flash Memory下一页下一页上一页上一页3.PLD的分类的分类PROMPLAPALGAL低密度可编程逻辑器件低密度可编程逻辑器件(LDPLD)EPLDCPLDFPGA高密度可编程逻辑器件高密度可编程逻辑

69、器件(HDPLD)可编程逻辑器件可编程逻辑器件(PLD) 按集成密度划分为按集成密度划分为下一页下一页上一页上一页 按结构特点划分按结构特点划分n简单简单PLD(PAL,GAL)n复杂的可编程器件复杂的可编程器件(CPLD):CPLD的代表芯片如:的代表芯片如:Altera的的MAX系列系列n现场可编程门阵列现场可编程门阵列(FPGA)下一页下一页上一页上一页PLD中的三种与、或阵列中的三种与、或阵列与阵列、或阵列与阵列、或阵列均可编程均可编程(PLA)与阵列固定,或阵与阵列固定,或阵列可编程列可编程(PROM)与阵列可编程,或与阵列可编程,或阵列固定阵列固定(PAL和和GAL等等)按按PLD中的与、或阵列是否编程分中的与、或阵列是否编程分下一页下一页上一页上一页4.5.2组合逻辑电路的组合逻辑电路的PLD实现实现 例例1 1 由由PLA构成的逻辑电路如图所构成的逻辑电路如图所示,试写出该电路的逻辑表达式,示,试写出该电路的逻辑表达式,并确定其逻辑功能并确定其逻辑功能。写出该电路的逻辑表达式:写出该电路的逻辑表达式:下一页下一页上一页上一页AnBnCnAnBnAnCnBnCn全加器全加器AnBnCnAnBnCnAnBnCn下一页下一页上一页上一页试写出该电路的逻辑表达式。试写出该电路的逻辑表达式。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号