数字电子技术基础

上传人:大米 文档编号:568552669 上传时间:2024-07-25 格式:PPT 页数:125 大小:1.81MB
返回 下载 相关 举报
数字电子技术基础_第1页
第1页 / 共125页
数字电子技术基础_第2页
第2页 / 共125页
数字电子技术基础_第3页
第3页 / 共125页
数字电子技术基础_第4页
第4页 / 共125页
数字电子技术基础_第5页
第5页 / 共125页
点击查看更多>>
资源描述

《数字电子技术基础》由会员分享,可在线阅读,更多相关《数字电子技术基础(125页珍藏版)》请在金锄头文库上搜索。

1、数字电子技术基础数字电子技术基础信息科学与工程学院信息科学与工程学院基础电子教研室基础电子教研室第三章第三章 组合逻辑电路组合逻辑电路内容提要内容提要 本章首先介绍组合电路的特点,然本章首先介绍组合电路的特点,然后阐述用小规模集成电路实现组合电路后阐述用小规模集成电路实现组合电路的的分析方法和设计方法分析方法和设计方法;还介绍几种常;还介绍几种常用中规模集成电路(如译码器、数据选用中规模集成电路(如译码器、数据选择器、加法器等)以及由它们构成择器、加法器等)以及由它们构成组合组合电路方法。电路方法。3.1 组合逻辑电路分析和设计方法3.2 编码器和译码器3.3 数据选择器3.4 加法器3.5

2、组合电路的竞争冒险第三章第三章 组合逻辑电路组合逻辑电路逻逻辑辑电电路路组合逻辑电路组合逻辑电路时序逻辑电路时序逻辑电路现时的输出仅取现时的输出仅取决于现时的输入决于现时的输入除与现时输入有除与现时输入有关外还与原状态关外还与原状态 有关有关 3.1 组合逻辑电路分析组合逻辑电路分析和设计方法和设计方法一、组合逻辑电路的特点一、组合逻辑电路的特点1.由给定的逻辑图写出逻辑关系表达式。由给定的逻辑图写出逻辑关系表达式。分析步骤:分析步骤:2.用逻辑代数或卡诺图对逻辑表达式进用逻辑代数或卡诺图对逻辑表达式进行化简。行化简。3.列出输入输出真值表并得出结论。列出输入输出真值表并得出结论。电路电路 结

3、构结构输入输出之间输入输出之间的逻辑关系的逻辑关系二、组合逻辑电路的分析方法二、组合逻辑电路的分析方法【例】分析下图的逻辑功能。【例】分析下图的逻辑功能。 &ABFEWB仿真仿真0110【例】分析下图的逻辑功能。【例】分析下图的逻辑功能。 &ABF11任务任务要求要求最简单的最简单的逻辑电路逻辑电路1. 指定实际问题的逻辑含义指定实际问题的逻辑含义(逻辑抽象逻辑抽象),列出真值表。列出真值表。设计步骤:设计步骤:三、组合逻辑电路的设计方法三、组合逻辑电路的设计方法(2) 定义逻辑状态的含义。定义逻辑状态的含义。(3) 列出真值表。列出真值表。 (1) 确定输入变量和输出变量。确定输入变量和输出

4、变量。3. 根据器件类型化简。根据器件类型化简。4. 画出逻辑电路图。画出逻辑电路图。2. 写出逻辑表达式,以便于化简。写出逻辑表达式,以便于化简。【例【例1】设计三人表决电路(】设计三人表决电路(A、B、C)。)。每每人一个按键,如果同意则按下,不同意则不人一个按键,如果同意则按下,不同意则不按。结果用指示灯表示,多数同意时指示灯按。结果用指示灯表示,多数同意时指示灯亮,否则不亮。亮,否则不亮。【例【例1】设计三人表决电路(】设计三人表决电路(A、B、C)。)。每每人一个按键,如果同意则按下,不同意则不人一个按键,如果同意则按下,不同意则不按。结果用指示灯表示,多数同意时指示灯按。结果用指示

5、灯表示,多数同意时指示灯亮,否则不亮。亮,否则不亮。1.逻辑抽象。逻辑抽象。 三个按键三个按键A、B、C作为输入变量,按下时为作为输入变量,按下时为“1”,不按时为,不按时为“0”。输出量为。输出量为 Y,多数多数赞成时是赞成时是“1”,否则是,否则是“0”。2.根据题意列出真值表根据题意列出真值表。A B C Y 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 真值表真值表3.画出卡诺图:画出卡诺图:00001111m3m5m6m7用卡诺图化简用卡诺图化简ABC0001111001ABACBC4.根据逻辑表达式画出逻辑图。根据逻辑表达式画出逻辑

6、图。& 1&AB BCY&ABCY若用与非门实现若用与非门实现EWB仿真仿真【例【例2】设计一个用】设计一个用3个开关控制灯的逻个开关控制灯的逻辑电路,要求任意一个开关都能控制灯辑电路,要求任意一个开关都能控制灯的由亮到灭或由灭到亮。的由亮到灭或由灭到亮。解:用解:用A、B、C分别表示三个开关,作为分别表示三个开关,作为输入变量,用输入变量,用“0”表示开关表示开关“打开打开”, “1”表示开关表示开关“闭合闭合” 。 Y表示灯,作为输出变量,用表示灯,作为输出变量,用“0”表表示灯示灯“灭灭”, “1”表示灯表示灯“亮亮” 。A B C Y 0 0 0 0 0 1 0 1 0 0 1 1 1

7、 0 0 1 0 1 1 1 0 1 1 1 01101001m1m2m4m7用卡诺图化简用卡诺图化简ABC0001111001010110101.由给定的逻辑图写出逻辑关系表达式。由给定的逻辑图写出逻辑关系表达式。分析步骤:分析步骤:2.用逻辑代数或卡诺图对逻辑表达式进用逻辑代数或卡诺图对逻辑表达式进行化简。行化简。3.列出输入输出真值表并得出结论。列出输入输出真值表并得出结论。1. 指定实际问题的逻辑含义,列出真值表。指定实际问题的逻辑含义,列出真值表。3. 根据器件类型化简。根据器件类型化简。4. 画出逻辑电路图。画出逻辑电路图。设计步骤:设计步骤:2. 写出逻辑表达式,以便于化简。写出

8、逻辑表达式,以便于化简。3.2.1 编码器编码器3.2 编码器和译码器编码器和译码器 用用文文字字、符符号号或或数数码码表表示示特特定定对对象象的的过过程程称称为为编编码码。在在数数字字电电路路中中用用二二进进制制代代码码表表示示有有关关的的信信号号。实实现现编编码码操操作作的的电电路路就是就是编码器编码器。如计算机的。如计算机的111键盘。键盘。编码器编码器普通编码器普通编码器优先编码器优先编码器一、三位二进制编码器一、三位二进制编码器- 八线八线 - 三线编码器三线编码器设八个输入端为设八个输入端为I0 I7,八种状态,与八种状态,与之对应的输出设为之对应的输出设为Y0、Y1、Y2,共三位

9、二进共三位二进制数(制数(设计编码器的设计编码器的过程与设计一般的组过程与设计一般的组合逻辑电路相同合逻辑电路相同)。8 8线线3 3线编码器线编码器I0Y2Y1Y0I1I2I3I4I5I6I7真值表真值表8-3编码器逻辑图编码器逻辑图EWB仿真仿真 优优先先编编码码器器允允许许多多个个输输入入信信号号同同时时有有效效,但但它它只只按按其其中中优优先先级级别别最最高高的的有有效效输输入入信信号号编编码码,对对级级别别较较低低的的输输入入信信号号不不予予理理睬睬。如如:74LS148即即为为8线线-3线线优优先编码器。先编码器。二、优先编码器二、优先编码器74LS148SI7I6I5I4I3I2

10、I1I0YSY2Y1Y0YEX状态信号状态信号输入端输入端代码输出端代码输出端选通输入端选通输入端S S - - 选通输入端选通输入端, ,低电平有效。低电平有效。(低电平有效)(低电平有效)(低电平有效)74LS148SI7I6I5I4I3I2I1I0YSY2Y1Y0YEX状态信号状态信号输入端输入端代码输出端代码输出端选通输入端选通输入端YS - - 选通输出端选通输出端, ,“电路工作,但无电路工作,但无编码输入编码输入”时输出低电平。时输出低电平。选通输出端选通输出端(低电平有效)(低电平有效)(低电平有效)(低电平有效)74LS148SI7I6I5I4I3I2I1I0YSY2Y1Y0

11、YEX状态信号状态信号输入端输入端(低(低电平有效)电平有效)代码输出端代码输出端(低电平有(低电平有 效)效)选通输入端选通输入端选通输出端选通输出端扩展端扩展端YEX - 扩展端扩展端,“电路工作,而且有编电路工作,而且有编码输入码输入”时输出低电平。时输出低电平。74LS148的功能表的功能表 注意:注意:I I7 7的优先级的优先级最高最高第三章第三章 组合逻辑电路组合逻辑电路内容提要内容提要 本章首先介绍组合电路的特点,然本章首先介绍组合电路的特点,然后阐述用小规模集成电路实现组合电路后阐述用小规模集成电路实现组合电路的的分析方法和设计方法分析方法和设计方法;还介绍几种常;还介绍几种

12、常用中规模集成电路(如译码器、数据选用中规模集成电路(如译码器、数据选择器、加法器等)以及由它们构成择器、加法器等)以及由它们构成组合组合电路方法。电路方法。【 】内容内容回顾回顾逻逻辑辑电电路路组合逻辑电路组合逻辑电路时序逻辑电路时序逻辑电路现时的输出仅取现时的输出仅取决于现时的输入决于现时的输入除与现时输入有除与现时输入有关外还与原状态关外还与原状态 有关有关 3.1 组合逻辑电路分析组合逻辑电路分析和设计方法和设计方法一、组合逻辑电路的特点一、组合逻辑电路的特点【 】内容内容回顾回顾1.由给定的逻辑图写出逻辑关系表达式。由给定的逻辑图写出逻辑关系表达式。分析步骤:分析步骤:2.用逻辑代数

13、或卡诺图对逻辑表达式进用逻辑代数或卡诺图对逻辑表达式进行化简。行化简。3.列出输入输出真值表并得出结论。列出输入输出真值表并得出结论。电路电路 结构结构输入输出之间输入输出之间的逻辑关系的逻辑关系二、组合逻辑电路的分析方法二、组合逻辑电路的分析方法【 】内容内容回顾回顾任务任务要求要求最简单的最简单的逻辑电路逻辑电路1. 指定实际问题的逻辑含义指定实际问题的逻辑含义(逻辑抽象逻辑抽象),列出真值表。列出真值表。设计步骤:设计步骤:三、组合逻辑电路的设计方法三、组合逻辑电路的设计方法(2) 定义逻辑状态的含义。定义逻辑状态的含义。(3) 列出真值表。列出真值表。 (1) 确定输入变量和输出变量。

14、确定输入变量和输出变量。【 】内容内容回顾回顾3. 根据器件类型化简。根据器件类型化简。4. 画出逻辑电路图。画出逻辑电路图。2. 写出逻辑表达式,以便于化简。写出逻辑表达式,以便于化简。【 】内容内容回顾回顾3.2 编码器和译码器编码器和译码器3.2.1 编码器编码器编码器编码器普通编码器普通编码器优先编码器优先编码器一、三位二进制编码器一、三位二进制编码器8线3线编码器I0Y2Y1Y0I1I2I3I4I5I6I7【 】内容内容回顾回顾二进制代码二进制代码高低电平信号高低电平信号【 】内容内容回顾回顾二、优先编码器二、优先编码器 优优先先编编码码器器允允许许多多个个输输入入信信号号同同时时有

15、有效效,但但它它只只按按其其中中优优先先级级别别最最高高的的有有效效输输入入信信号号编编码码,对对级级别别较较低低的的输输入入信信号号不予理睬。不予理睬。74LS148的功能表的功能表 注意:输出信号注意:输出信号为反码输出为反码输出YS - 选通输出端,“电路工作,但无编码输入”时输出低电平。YEX - 扩展端,“电路工作,而且有编码输入”时输出低电平。【例】用两片【例】用两片74LS148实现实现16线线-4线编码器线编码器,其中其中A15的优先级最高,的优先级最高,A0的优先级最低的优先级最低。【例】用两片【例】用两片74LS148实现实现16线线-4线编码线编码器。器。74LS148(

16、1)74LS148(2)&译码器的逻辑功能是将每个输入的译码器的逻辑功能是将每个输入的二进制代码译成对应的输出高、低电平二进制代码译成对应的输出高、低电平信号。信号。译码器译码器二进制译码器二进制译码器二十进制译码器二十进制译码器显示译码器显示译码器3.2.2 译码器译码器一、二进制译码器一、二进制译码器 二进制译码二进制译码器有器有n n个输入个输入端端( (即即n n位二进位二进制码制码) ),2 2n n个个输出线。输出线。 常见的译码常见的译码器有器有2 24 4译码译码器、器、3 38 8译码译码器器和和4 41616译译码器。码器。3线8线译码器Y0A2A1A0Y1Y2Y3Y4Y5

17、Y6Y7二进制代码二进制代码高低电平信号高低电平信号7474LS138LS138 S1 S2 S3A1A2A0Y0Y7Y6Y5Y4Y3Y2Y1地址输入端地址输入端 片选输入端片选输入端输出端,低电平有效输出端,低电平有效3 38 8译码译码器器&Y01111111 SS1S2S3A2A1A0Y1Y6Y7-7474LS138LS138&1SS1S2S3&1SS1S2S31 1A1 1A74LS138的功能表的功能表 1 0 1 0 1 1 0 0 0 1 0 1 1 0 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 1 0 1 0 1 1 1 1 1 1 1 0 0 0 0 1

18、 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7A2 A1 A0S1+S2S1输出输出输入输入 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 0 0 1 1 1 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 1 1 1 0二、译码器的应用二、译码器的应用1. 地址译码地址译码在计算机与外部设备打交道时,常用在计算机与外部设备打交道时,常用二进制译码器做地址译码,把地址信二进制译码器做地址译码,把地址信号号A送到译码器的输入

19、,译码器送到译码器的输入,译码器的输出的输出Y接相应的地址外设的使接相应的地址外设的使能端,则对应于地址信号的一组代码、能端,则对应于地址信号的一组代码、可选中且仅选中一个地址外设。可选中且仅选中一个地址外设。例:利用译码器分时将采样数据送入计算机。例:利用译码器分时将采样数据送入计算机。2-4线译线译码器码器ABCD三态门三态门三态门三态门三态门三态门三态门三态门总总线线000全为全为1工作原理工作原理:(以:(以A0A1=00为例)为例)数数据据2-4线译线译码器码器ABCD三态门三态门三态门三态门三态门三态门三态门三态门总总线线脱离总线脱离总线2. 级联扩展级联扩展38译码器(1) S1

20、 S2 S3A1A2A0Y0Y7Y6Y5Y4Y3Y2Y138译码器(2) S1 S2 S3A1A2A0Y0Y7Y6Y5Y4Y3Y2Y1Z0Z7Z8Z151D3D2D1D0Y0Y7Y6Y1D3. 用作多路分配器用作多路分配器中规模集成电路是为了实现专门的中规模集成电路是为了实现专门的逻辑功能而设计,但是通过适当的连接,逻辑功能而设计,但是通过适当的连接,可以实现一般的逻辑功能。可以实现一般的逻辑功能。用中规模集成电路设计逻辑电路,用中规模集成电路设计逻辑电路,可以减少连线、提高可靠性。可以减少连线、提高可靠性。4. 实现组合逻辑函数实现组合逻辑函数 任何一个逻辑函数都可以表示成最任何一个逻辑函数

21、都可以表示成最小项和的形式,而小项和的形式,而3-8译码器的输出对译码器的输出对应于不同的最小项,因此,可用应于不同的最小项,因此,可用3-8译译码器方便的实现逻辑函数。码器方便的实现逻辑函数。【例【例 1】 试用试用38译码器实现函数:译码器实现函数: 1ABC74LS138 S1 S2 S3A1A2A0Y0Y7Y6Y5Y4Y3Y2Y1&Y1&Y2【例【例2】设计一个用】设计一个用3个开关控制灯的逻个开关控制灯的逻辑电路,要求任一个开关都能控制灯的辑电路,要求任一个开关都能控制灯的由亮到灭或由灭到亮。由亮到灭或由灭到亮。A B C Y 0 0 0 0 0 1 0 1 0 0 1 1 1 0

22、0 1 0 1 1 1 0 1 1 1 01101001最小项 m0m1m2m3m4m5m6m7ABC174LS138 S1 S2 S3A1A2A0Y0Y7Y6Y5Y4Y3Y2Y1Y&EWB仿真仿真【练习】【练习】 试用试用38译码器实现函数:译码器实现函数: 1ABC38译码器 S1 S2 S3A1A2A0Y0Y7Y6Y5Y4Y3Y2Y1&F2&F1n-2n 线译码器,包含了线译码器,包含了n变量所有的变量所有的最小项。加上与非门,可以组成任最小项。加上与非门,可以组成任何形式的输入变量小于或等于何形式的输入变量小于或等于n的组的组合逻辑函数。合逻辑函数。三、三、显示译码器显示译码器二二-十

23、进十进制编码制编码显示译显示译码器码器显示显示器件器件在数字系统中,常常需要将运算结果用在数字系统中,常常需要将运算结果用人们习惯的十进制显示出来,这就要用到人们习惯的十进制显示出来,这就要用到显示译码器显示译码器。显示器件显示器件LED显示器显示器LCD显示器显示器真值表真值表0ABCABDBCDACDABCMLMSABCMLMS00000101001110010111011100100111BCA00011110010101BCA000111100100113线8线译码器Y0A2A1A0Y1Y2Y3Y4Y5Y6Y7二进制代码二进制代码高低电平信号高低电平信号【 】内容内容回顾回顾译码器译码

24、器 74LS138地址输入端地址输入端片选输入端片选输入端输出端输出端【 】内容内容回顾回顾一、二进制译码器一、二进制译码器二、二、 译码器的应用译码器的应用38译码器(1) S1 S2 S3A1A2A0Y0Y7Y6Y5Y4Y3Y2Y138译码器(2) S1 S2 S3A1A2A0Y0Y7Y6Y5Y4Y3Y2Y1Z0Z7Z8Z151D3D2D1D0【 】内容内容回顾回顾1、 级联扩展级联扩展2、 实现组合逻辑函数实现组合逻辑函数 任何一个逻辑函数都可以表示成最小项和任何一个逻辑函数都可以表示成最小项和的形式,而的形式,而3-8译码器的输出对应于不同的最小译码器的输出对应于不同的最小项,因此,可

25、用项,因此,可用3-8译码器方便的实现逻辑函数。译码器方便的实现逻辑函数。【 】内容内容回顾回顾 步骤:步骤: 1、首先将逻辑函数表示成最小项和的形式。、首先将逻辑函数表示成最小项和的形式。2、将逻辑函数表示成、将逻辑函数表示成3-8译码器的输出译码器的输出 信号的形式。信号的形式。3、画出电路图,注意译码器的片选端的、画出电路图,注意译码器的片选端的 连接。连接。n-2n 线译码器,包含了线译码器,包含了n变量所有的变量所有的最小项。加上与非门,可以组成任最小项。加上与非门,可以组成任何形式的何形式的输入变量小于或等于输入变量小于或等于n的组的组合逻辑函数。合逻辑函数。【 】内容内容回顾回顾

26、三、三、显示译码器显示译码器二二-十进十进制编码制编码显示译显示译码器码器显示显示器件器件显示器件显示器件LED显示器显示器LCD显示器显示器【 】内容内容回顾回顾显示器件显示器件: 七段七段LED显示器显示器abcdfge共阳极共阳极LED共阴极共阴极LEDLED显示器具有亮度高、响应时显示器具有亮度高、响应时间短、使用寿命长、可靠性高等间短、使用寿命长、可靠性高等优点。优点。其缺点是工作电流较大。其缺点是工作电流较大。LCD(液晶)显示器最大优点是液晶)显示器最大优点是低功耗,可在低电压下工作。低功耗,可在低电压下工作。其缺点是亮度低、响应速度慢。其缺点是亮度低、响应速度慢。BCD七七段显

27、示译码器段显示译码器:二二-十进十进制编码制编码显示译显示译码器码器显示显示器件器件BCD-七段显示译码器的真值表七段显示译码器的真值表abcdefg11474LS48A3abcdfgUccGNDA1A2A0RBIeLTBI / RBOA0A3 : BCD码输入端;码输入端;ag : 七段显示码输出端。七段显示码输出端。11474LS48A3abcdfgVccGNDA1A2A0RBIeLTBI / RBO: 灯测试端,低电平有效。检查笔灯测试端,低电平有效。检查笔 段是否正常发光。段是否正常发光。LT11474LS48A3abcdfgUccGNDA1A2A0RBIeLTBI / RBO: 灭灭

28、0输入端,低电平有效。输入端,低电平有效。RBI11474LS48A3abcdfgUccGNDA1A2A0RBIeLTBI / RBO: 灭灯输入灭灯输入 / 灭灭0输出端,低电平输出端,低电平有效。有效。BI / RBO74LS48与七段显与七段显示器件的连接示器件的连接:为提高显示为提高显示亮度,可接亮度,可接上拉电阻上拉电阻bfac d egbfac d egBID C B A+5V+5V74LS480 0 1 0 6 . 8 0 0 0 0 0 0 0 . 6 5 0 2 0 0 3 6 . 8 8 81 0 6 . 80 . 6 5无效无效0消隐电路设计:消隐电路设计:(1) 显示结

29、果直观醒目;显示结果直观醒目;(2) 降低功耗。降低功耗。小结小结基本要求:基本要求:1.掌握组合电路的分析方法;掌握组合电路的分析方法;2.掌握组合电路的设计方法;掌握组合电路的设计方法;3.了解编码、译码的含义。了解编码、译码的含义。4. 掌握译码器实现组合电路的方法;掌握译码器实现组合电路的方法;5. 了解编码器、译码器的工作原理;了解编码器、译码器的工作原理;6. 了解显示译码器的使用。了解显示译码器的使用。从一组数据中选择一路信号进行传输的电从一组数据中选择一路信号进行传输的电路,称为路,称为数据选择器数据选择器。A0A1D3D2D1D0W地址信号地址信号输输入入信信号号输输出出信信

30、号号数据选择数据选择器类似一器类似一个多掷开个多掷开关。选择关。选择哪一路信哪一路信号由相应号由相应的一组地的一组地址信号控址信号控制。制。3.3 数据选择器数据选择器一、数据选择器、数据选择器(74LS153)的工作原理的工作原理数数据据输输入入端端地址输地址输入端入端控制端控制端输出端输出端 0功能表功能表D0D1D2D3 输入输入 输出输出 A1 A0 Y 1 0 0 0 0 1 0 1 0 0 1 1 0 数数据据输输入入端端地址输入端地址输入端控制端控制端输出端输出端数数据据输输入入端端控制端控制端输出端输出端 作数据选择,以实现多路信号分时传送;作数据选择,以实现多路信号分时传送;

31、 级联扩展;级联扩展; 实现组合逻辑函数;实现组合逻辑函数; 在数据传输时实现并在数据传输时实现并串转换;串转换; 产生序列信号(第产生序列信号(第5 5章)。章)。 二、数据选择器的应用二、数据选择器的应用1. 用用74LS153构成八选一数据选择器构成八选一数据选择器 74LS153为双四选一数据选择为双四选一数据选择器,需一片即可产生八路输入信器,需一片即可产生八路输入信号;号; 需三位地址线控制八路需三位地址线控制八路输入端;输入端; 用最高位控制芯片用最高位控制芯片的的控制端;控制端; 两个输出端相两个输出端相或或产生输出信号产生输出信号 分析:分析:0 =0D0 D3D0 D311

32、. 用用74LS153构成八选一数据选择器构成八选一数据选择器1. 用用74LS153构成八选一数据选择器构成八选一数据选择器1=0D4 D7D4 D702. 用数据选择器设计逻辑电路用数据选择器设计逻辑电路 类似三变量函数的表达式!类似三变量函数的表达式!输入输入 输出输出 A1 A0 Y 1 0 0 0 0 D0 0 1 0 D1 1 0 0 D2 1 1 0 D3 四选一选择器功能表四选一选择器功能表例例1:利用四选一选择器实现如下逻辑函数。利用四选一选择器实现如下逻辑函数。与四选一选择器输出的逻辑式比较与四选一选择器输出的逻辑式比较可以令:可以令:变换变换BCF接线图接线图D0D1D2

33、D3A0A1Y74LS153A“1”1题题3.103.10A B C174LS138 S1 S2 S3A1A2A0Y0Y7Y6Y5Y4Y3Y2Y1&Y1Y2Y3从一组数据中选择一路信号进行传输的电从一组数据中选择一路信号进行传输的电路,称为路,称为数据选择器数据选择器。3.3 数据选择器数据选择器【 】内容内容回顾回顾数据输入端数据输入端地址输入端地址输入端控制端控制端输出端输出端数据输入端数据输入端控制端控制端输出端输出端 0功能表功能表D0D1D2D3 输入输入 输出输出 A1 A0 Y 1 0 0 0 0 1 0 1 0 0 1 1 0 【 】内容内容回顾回顾 作数据选择,以实现多路信号

34、分时传送;作数据选择,以实现多路信号分时传送; 级联扩展;级联扩展; 实现组合逻辑函数;实现组合逻辑函数; 二、数据选择器的应用二、数据选择器的应用【 】内容内容回顾回顾1、与四选一选择器输出的逻辑式比较,、与四选一选择器输出的逻辑式比较, 选择地址输入端,并将数据输入端选择地址输入端,并将数据输入端 综合为一个输入端。综合为一个输入端。2、根据上述的分析画出接线图。、根据上述的分析画出接线图。步骤步骤:【 】内容内容回顾回顾用数据选择器设计逻辑电路用数据选择器设计逻辑电路【例【例2 2】 试用试用4 4选选1 1数据选择器实现三变量函数:数据选择器实现三变量函数: 分析分析: 选择地址输入,

35、令A1A0=AB(可任意选择)与四选一选择器输出的逻辑式比较与四选一选择器输出的逻辑式比较将将F与与Y对照可得对照可得 【例【例2】设计一个用】设计一个用3个开关控制灯的逻个开关控制灯的逻辑电路,要求任一个开关都能控制灯的辑电路,要求任一个开关都能控制灯的由亮到灭或由灭到亮。由亮到灭或由灭到亮。A B C Y 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 01101001最小项 m0m1m2m3m4m5m6m7EWB仿真仿真74LS153YA0A1D1D0D3D2SYABC1用用n位输入的数据选择器,可以产生位输入的数据选择器,可以产生任何一种

36、输入变量数不大于任何一种输入变量数不大于n+1的组的组合逻辑函数。合逻辑函数。设计时采用函数式对照法。地址端设计时采用函数式对照法。地址端作为输入端,数据输入端可以综合作为输入端,数据输入端可以综合为一个输入端。为一个输入端。1 1 0 11 0 0 1+举例:举例:A=1101, B=1001, 计算计算A+B0110100113.4 加法器加法器加法运算的基本规则加法运算的基本规则:(1)逢二进一。)逢二进一。(2)最低位是两个数最低位的相加,不需)最低位是两个数最低位的相加,不需考虑进位。考虑进位。(3)其余各位都是三个数相加,包括加数、)其余各位都是三个数相加,包括加数、被、加数和低位

37、来的进位。被、加数和低位来的进位。(4)任何位相加都产生两个结果:本位和、)任何位相加都产生两个结果:本位和、向高位的进位。向高位的进位。=1&ABSC 一、一、1位加法器位加法器A B SC 0 0 0 1 1 0 1 1 00010110真值表真值表A-被加数;被加数;B-加数;加数;S-本位和;本位和;C-进位。进位。半加器:半加器: 相加过程中,仅考虑被加数、加数相加过程中,仅考虑被加数、加数。ABCS全加器:全加器:A-被加数;被加数;B-加数;加数;CI-低位的进低位的进位;位;S-本位和;本位和;CO-向高位的进位。向高位的进位。逻辑真值表见下页逻辑真值表见下页 相加过程中,既考

38、虑加数、被加数又相加过程中,既考虑加数、被加数又考虑低位的进位位。考虑低位的进位位。全加器的真值表全加器的真值表【例】用【例】用3-8译码器和数据选择器实现加法器。译码器和数据选择器实现加法器。1ABC74LS138 S1 S2 S3A1A2A0Y0Y7Y6Y5Y4Y3Y2Y1&CO&S注意:注意:A A、B B、C C的接法的接法EWB仿真仿真BCISCOA1EWB仿真仿真1 1 0 10 0 1 1-举例:举例:A=1101, B=0011, 计算计算A-B00110010二、二、1位全减器位全减器全减器全减器的真值表的真值表A-被减数;被减数;B-减数;减数;BI -低位的借低位的借位位

39、D-本位差;本位差;BO-向高位的向高位的借借位。位。思考:如何用思考:如何用 7474LS138LS138实现减法器实现减法器?A-A2 ;B- A1 ; BI - A0D-本位差;本位差;BO-向高位的借位。向高位的借位。三、多位加法器的应用三、多位加法器的应用(1 1)加法运算;)加法运算;(2)实现码组变换。实现码组变换。bncn-1sncn全加器全加器anbncn-1sncn全加器全加器anA2A1B2B1D2D1C【例】【例】 试采用加法器完成试采用加法器完成8421 BCD码到余码到余3码的转换。码的转换。十进制数 8421码 余 3 码DCBAY3Y2Y1Y0012345678

40、900000001001000110100010101100111100010010011010001010110011110001001101010111100即:Y3Y2Y1Y0 = DCBA + 0011【例】分析下面逻辑图的逻辑功能。【例】分析下面逻辑图的逻辑功能。 Y0&AB Y1 Y2 11数值比较器数值比较器多位数值比较器多位数值比较器 在比较两个多位数的大小时,必须自高而低在比较两个多位数的大小时,必须自高而低地诸位比较,而且只有在高位相等时,才需要比地诸位比较,而且只有在高位相等时,才需要比较低位。较低位。数据输入端数据输入端扩展端扩展端比较结果比较结果输出端输出端3.4 3

41、.4 组合逻辑电路中的竞争与冒险组合逻辑电路中的竞争与冒险 由于竞争而使电路输出发生瞬时错误由于竞争而使电路输出发生瞬时错误的现象称为的现象称为冒险冒险。 在组合电路中,门电路的两个输入信在组合电路中,门电路的两个输入信号同时向相反的逻辑电平跳变号同时向相反的逻辑电平跳变,这种现象,这种现象称为称为竞争竞争。检查竞争冒险的方法:检查竞争冒险的方法: 1、如果输出端的逻辑函数在一定条件下能化、如果输出端的逻辑函数在一定条件下能化简成简成则可判定存在竞争冒险。则可判定存在竞争冒险。 2 2、用实验的方法来检查输出端有因为竞争、用实验的方法来检查输出端有因为竞争冒险而产生的尖峰脉冲。冒险而产生的尖峰

42、脉冲。冒险现象的消除冒险现象的消除 当当电电路路中中存存在在冒冒险险现现象象时时,必必须须设设法法消除它,否则会导致错误结果。消除它,否则会导致错误结果。常在输出端并联滤波电容常在输出端并联滤波电容C C,来消除其影响。来消除其影响。UI小结小结基本要求:基本要求:1.了解数据选择器的工作原理;了解数据选择器的工作原理;2.掌握数据选择器实现组合电路的方法;掌握数据选择器实现组合电路的方法;3.掌握全加器真值表。掌握全加器真值表。4.4. 掌握全加法器实现代码转换的方法。掌握全加法器实现代码转换的方法。第三章第三章 组合逻辑电路组合逻辑电路内容提要 本章首先介绍组合电路的特点,然本章首先介绍组合电路的特点,然后阐述用小规模集成电路实现组合电路后阐述用小规模集成电路实现组合电路的的分析方法和设计方法分析方法和设计方法;还介绍几种常;还介绍几种常用中规模集成电路(如译码器、数据选用中规模集成电路(如译码器、数据选择器、加法器等)以及由它们择器、加法器等)以及由它们构成组合构成组合电路方法。电路方法。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号