数字电路四章节

上传人:夏** 文档编号:568333544 上传时间:2024-07-24 格式:PPT 页数:154 大小:6.12MB
返回 下载 相关 举报
数字电路四章节_第1页
第1页 / 共154页
数字电路四章节_第2页
第2页 / 共154页
数字电路四章节_第3页
第3页 / 共154页
数字电路四章节_第4页
第4页 / 共154页
数字电路四章节_第5页
第5页 / 共154页
点击查看更多>>
资源描述

《数字电路四章节》由会员分享,可在线阅读,更多相关《数字电路四章节(154页珍藏版)》请在金锄头文库上搜索。

1、4 组合逻辑电路4.1组合逻辑电路的分析组合逻辑电路的分析4.2组合逻辑电路的设计组合逻辑电路的设计4.3组合逻辑电路中的竞争和冒险组合逻辑电路中的竞争和冒险4.4常用组合逻辑集成电路常用组合逻辑集成电路4.5组合可编程电路组合可编程电路4.6用用VerilogHDL描述描述组合逻辑电路组合逻辑电路教学基本要求教学基本要求1.熟练掌握组合逻辑电路的分析方法和设计方法熟练掌握组合逻辑电路的分析方法和设计方法2.掌握编码器、译码器、数据选择器、数值比较器和加掌握编码器、译码器、数据选择器、数值比较器和加法器的逻辑功能及其应用;法器的逻辑功能及其应用;3.学会阅读学会阅读MSI器件的功能表,并能根据

2、设计要求完成器件的功能表,并能根据设计要求完成电路的正确连接。电路的正确连接。4.掌握可编程逻辑器件的表示方法掌握可编程逻辑器件的表示方法,会用会用PLD实现实现组合逻辑电路组合逻辑电路组合逻辑电路的一般框图组合逻辑电路的一般框图Li = f (A1, A2 , , An )(i=1,2,m)工作特征工作特征: :组合逻辑电路工作特点组合逻辑电路工作特点: :在任何时刻,电路的输出状态只取在任何时刻,电路的输出状态只取决于同一时刻的输入状态而与电路原来的状态无关。决于同一时刻的输入状态而与电路原来的状态无关。 序序 关于组合逻辑电路关于组合逻辑电路结构特征结构特征:1、输出、输入之间没有反馈延

3、迟通路,、输出、输入之间没有反馈延迟通路,2、不含记忆单元、不含记忆单元二二.组合逻辑电路的分析步骤:组合逻辑电路的分析步骤:4.1组合逻辑电路分析组合逻辑电路分析1、由逻辑图写出各输出端的逻辑表达式;由逻辑图写出各输出端的逻辑表达式;2、化简和变换逻辑表达式;化简和变换逻辑表达式;3、列出真值表;列出真值表;4、根据真值表或逻辑表达式,经分析最后确定其功能。根据真值表或逻辑表达式,经分析最后确定其功能。根据已知逻辑电路,经分析确定电路的的逻辑功能。根据已知逻辑电路,经分析确定电路的的逻辑功能。一一.组合逻辑电路分析组合逻辑电路分析三、组合逻辑电路的分析举例三、组合逻辑电路的分析举例例例1分析

4、如图所示逻辑电路的功能。分析如图所示逻辑电路的功能。1.根据逻辑图写出输出函数的逻辑表达式根据逻辑图写出输出函数的逻辑表达式2.列写真值表。列写真值表。10010110111011101001110010100000CBA001111003.确定逻辑功能:确定逻辑功能:解:解:输入变量的取值中有奇数输入变量的取值中有奇数个个1时,时,L为为1,否则,否则L为为0,电路具有为奇校验功能。电路具有为奇校验功能。如要实现偶校验,电路应做何改变?如要实现偶校验,电路应做何改变?例例2 试分析下图所示组合逻辑电路的逻辑功能。试分析下图所示组合逻辑电路的逻辑功能。解:解:1 1、根据逻辑电路写出各输出端的

5、逻辑表达式,并进行化、根据逻辑电路写出各输出端的逻辑表达式,并进行化简和变换。简和变换。X =A2 2、列写真值表、列写真值表X =A真值表真值表111011101001110010100000 Z Y X C B A000011110011110001011010这个电路逻辑功能是对输入这个电路逻辑功能是对输入的二进制码求反码。最高位为的二进制码求反码。最高位为符号位,符号位,0表示正数,表示正数,1表示负表示负数,正数的反码与原码相同;数,正数的反码与原码相同;负数的数值部分是在原码的基负数的数值部分是在原码的基础上逐位求反。础上逐位求反。3、确定电路逻辑功能、确定电路逻辑功能真值表真值表

6、111011101001110010100000 Z Y X C B A0000111100111100010110101 1、逻辑抽象:根据实际逻辑问题的因果关系确定输入、逻辑抽象:根据实际逻辑问题的因果关系确定输入、输出变量,并定义逻辑状态的含义;输出变量,并定义逻辑状态的含义;2、根据逻辑描述列出真值表;根据逻辑描述列出真值表;3、由真值表写出逻辑表达式由真值表写出逻辑表达式; ;5、 画出逻辑图。画出逻辑图。4、根据器件的类型根据器件的类型, ,简化和变换逻辑表达式简化和变换逻辑表达式二、组合逻辑电路的设计步骤二、组合逻辑电路的设计步骤 一、组合逻辑电路的设计:根据实际逻辑问题,求出所

7、要求逻辑一、组合逻辑电路的设计:根据实际逻辑问题,求出所要求逻辑功能的最简单逻辑电路。功能的最简单逻辑电路。4.2组合逻辑电路的设计组合逻辑电路的设计例例1 1 某火车站有特快、直快和慢车三种类型的客运列车进出,某火车站有特快、直快和慢车三种类型的客运列车进出,试用两输入与非门和反相器设计一个指示列车等待进站的逻试用两输入与非门和反相器设计一个指示列车等待进站的逻辑电路,辑电路,3 3个指示灯一、二、三号分别对应特快、直快和慢车。个指示灯一、二、三号分别对应特快、直快和慢车。列车的优先级别依次为特快、直快和慢车,要求当特快列车列车的优先级别依次为特快、直快和慢车,要求当特快列车请求进站时,无论

8、其它两种列车是否请求进站,一号灯亮。请求进站时,无论其它两种列车是否请求进站,一号灯亮。当特快没有请求,直快请求进站时,无论慢车是否请求,二当特快没有请求,直快请求进站时,无论慢车是否请求,二号灯亮。当特快和直快均没有请求,号灯亮。当特快和直快均没有请求,而慢车有请求时,三号灯亮。而慢车有请求时,三号灯亮。解:解:1、逻辑抽象逻辑抽象。输入信号输入信号:I0、I1、I2分别为特快、直快和慢车的进站请求信号分别为特快、直快和慢车的进站请求信号且有进站请求时为且有进站请求时为1,没有请求时为,没有请求时为0。输出信号输出信号:L0、L1、L2分别为分别为3个指示灯的状态,个指示灯的状态,且灯亮为且

9、灯亮为1,灯灭为,灯灭为0。输入入输出出I0I1I2L0L1L2000000110001010001001根据题意列出真值表根据题意列出真值表(2)写出各输出逻辑表达式。写出各输出逻辑表达式。L0=I0输入入输出出I0I1I2L0L1L2000000110001010001001真值表真值表2、根据真值表写出各输出逻辑表达式。根据真值表写出各输出逻辑表达式。L0=I03、根据要求将上式变换为与非形式根据要求将上式变换为与非形式 4、根据输出逻辑表达式画出逻辑图。根据输出逻辑表达式画出逻辑图。例例2试设计一个码转换电路,将试设计一个码转换电路,将4位格雷码转换为自然二进位格雷码转换为自然二进制码

10、。可以采用任何逻辑门电路来实现。制码。可以采用任何逻辑门电路来实现。解:解:(1)明确逻辑功能,列出真值表。明确逻辑功能,列出真值表。设输入变量为设输入变量为G3、G2、G1、G0为格雷码,为格雷码,当输入格雷码按照从当输入格雷码按照从0到到15递增排序时,递增排序时,可列出逻辑电路真值表可列出逻辑电路真值表输出变量输出变量B3、B2、B1和和B0为为自然二进制码。自然二进制码。0111010001100101010101110100011000110010001000110001000100000000B3B2B1B0G3G2G1G0输出出输入入11111000111010011101101

11、11100101010111110101011111001110110001100B3B2B1B0G3G2G1G0输出出输入入逻辑电路真值表逻辑电路真值表(2)画出各输出函数的卡诺图,并化简和变换。画出各输出函数的卡诺图,并化简和变换。33GB= = = =2B+ +2G3G2G3G+ +2G3G1B = =1G+ +2G3G1G2G3G1G+ +2G3G1G=(=(2G3G) )+ +2G3G1G+ +2G3G) )+ +2G3G1G= = 3G2G 1G0B = = 3G2G 1G 0G(3)根据逻辑表达式,画出逻辑图根据逻辑表达式,画出逻辑图4.3 组合逻辑电路中的竞争冒险组合逻辑电路中

12、的竞争冒险4.3.1 产生的竞争冒险的原因产生的竞争冒险的原因4.3.2 消去竞争冒险的方法消去竞争冒险的方法4.3 组合逻辑电路中的竞争冒险组合逻辑电路中的竞争冒险不考虑门的延时时间不考虑门的延时时间考虑门的延时时间考虑门的延时时间, ,当当A=0B=14.3.1 产生的竞争冒险的原因产生的竞争冒险的原因竞争竞争:当一个逻辑门的两个输入端的信号同时向相反方向变化,当一个逻辑门的两个输入端的信号同时向相反方向变化,而变化的时间有差异的现象。而变化的时间有差异的现象。冒险冒险:两个输入端的信号取值的变化方向是相反时,如门电路两个输入端的信号取值的变化方向是相反时,如门电路输出端的逻辑表达式简化成

13、两个互补信号相乘或者相加,输出端的逻辑表达式简化成两个互补信号相乘或者相加,由竞由竞争而可能产生输出干扰脉冲的现象。争而可能产生输出干扰脉冲的现象。4.3.2 消去竞争冒险的方法消去竞争冒险的方法1. 1. 发现并消除互补变量发现并消除互补变量 ABC 1 & LB = C=0时时为消掉为消掉AA,变换逻辑函数式为变换逻辑函数式为)(CABAL+ + += =可能出现竞争冒险。可能出现竞争冒险。AAF = =BCBAACF+ + += =2. 增加乘积项增加乘积项, ,避免互补项相加避免互补项相加 , 当当A=B=1时,根据逻辑表达式有时,根据逻辑表达式有CBACL+=当当A=B=1时时CBA

14、CL+=CBACL+=+ ABCCL+=AB 0 1 A 00010111 L B C 00 01 11 10 3. 输出端并联电容器输出端并联电容器 如果逻辑电路在较慢速度下工作,为了消去竞争冒险,如果逻辑电路在较慢速度下工作,为了消去竞争冒险,可以在输出端并联一电容器,致使输出波形上升沿和下降可以在输出端并联一电容器,致使输出波形上升沿和下降沿变化比较缓慢,可对于很窄的负跳变脉冲起到平波的作沿变化比较缓慢,可对于很窄的负跳变脉冲起到平波的作用。用。420pF4.4若干典型的组合逻辑集成电路若干典型的组合逻辑集成电路4.4.1编码器编码器4.4.2译码器译码器/数据分配器数据分配器4.4.3

15、数据选择器数据选择器4.4.4数值比较器数值比较器4.4.5算术运算电路算术运算电路1、)编码器编码器(Encoder)的概念与分类的概念与分类编码:赋予二进制代码特定含义的过程称为编码。编码:赋予二进制代码特定含义的过程称为编码。如:如:8421BCD码中,用码中,用1000表示数字表示数字8如:如:ASCII码中,用码中,用1000001表示字母表示字母A等等编码器:具有编码功能的逻辑电路。编码器:具有编码功能的逻辑电路。4.4.1编码器编码器4.4若干典型的组合逻辑集成电路若干典型的组合逻辑集成电路能将每一个编码输入信号变换为不同的二进制的代码输出。能将每一个编码输入信号变换为不同的二进

16、制的代码输出。 如如8线线-3线编码器:将线编码器:将8个输入的信号分别编成个输入的信号分别编成8个个3位二进位二进制数码制数码输出。输出。如如BCD编码器:将编码器:将10个编码输入信号分别编成个编码输入信号分别编成10个个4位码位码输出。输出。编码器的逻辑功能编码器的逻辑功能:1、)编码器编码器(Encoder)的概念与分类的概念与分类编码器的分类:普通编码器和优先编码器。编码器的分类:普通编码器和优先编码器。普通编码器:任何时候只允许输入一个有效编码信号,否则普通编码器:任何时候只允许输入一个有效编码信号,否则输出就会发生混乱。输出就会发生混乱。优先编码器:允许同时输入两个以上的有效编码

17、信号。当同优先编码器:允许同时输入两个以上的有效编码信号。当同时输入几个有效编码信号时,优先编码器能按预先设定的优时输入几个有效编码信号时,优先编码器能按预先设定的优先级别,只对其中优先权最高的一个进行编码。先级别,只对其中优先权最高的一个进行编码。1、)编码器编码器(Encoder)的概念与分类的概念与分类二进制编码器的结构框图二进制编码器的结构框图普通二进制编码器普通二进制编码器1、编码器的工作原理、编码器的工作原理I0I1Yn-1Y0Y11n2 I二进制二进制 编码器编码器 2n个个 输入输入n位二进位二进制码输出制码输出 (1)4线线2线普通二进制编码器线普通二进制编码器(设计设计)1

18、000010000100001Y0Y1I3I2I1I0 (2)逻辑功能表)逻辑功能表编码器的输入为高电平有效。编码器的输入为高电平有效。(a)逻辑框图)逻辑框图4输输入入二二进进制制码码输输出出110110001、编码器的工作原理、编码器的工作原理该电路是否可以再简化?该电路是否可以再简化?(2.)键盘输入键盘输入8421BCD码编码器(分析)码编码器(分析)代码输出代码输出使能标志使能标志编码输入编码输入 输 入入输 出出S0S1S2S3S4S5S6S7S8S9ABCDGS111111111100000111111111010011111111110110001111111101101111

19、111111011101101111110111101011111101111101001111011111100111110111111100101101111111100011011111111100001该编码器为输入低电平有效该编码器为输入低电平有效2.键盘输入键盘输入8421BCD码编码器码编码器功能表功能表 当当所有的输入都为所有的输入都为1时,时,Y1Y0=?Y1Y0=00无法输出有效编码。无法输出有效编码。结论:普通编码器不能同时输入两个已上的有效编码信号结论:普通编码器不能同时输入两个已上的有效编码信号I2= I3= 1,I1= I0= 0时,时,Y1Y0=?Y1Y0=00

20、3.3. 优先编码器优先编码器 优先编码器的提出:优先编码器的提出: 实际应用中,经常有两实际应用中,经常有两个或更多输入编码信号个或更多输入编码信号同时有效。同时有效。 必须根据轻重缓急,规定好这些外设允许操作的先后次必须根据轻重缓急,规定好这些外设允许操作的先后次 序,即优先级别。序,即优先级别。 识别多个编码请求信号的优先级别,并进行相应编码的逻识别多个编码请求信号的优先级别,并进行相应编码的逻辑部件称为优先编码器。辑部件称为优先编码器。(2)优先编码器线优先编码器线(42线优先编码器线优先编码器)(设计)(设计)(1)列出功能表)列出功能表输入入输出出I0I1I2I3Y1Y010000

21、0100011010111高高低低(2)写出逻辑表达式)写出逻辑表达式(3)画出逻辑电路(略)画出逻辑电路(略)输入编码信号高电平有效,输出为二进制代码输入编码信号高电平有效,输出为二进制代码输入编码信号优先级从高到低为输入编码信号优先级从高到低为I0I3输入为编码信号输入为编码信号I3 I0输出为输出为Y1Y03321IIIY+=33210IIIIY+=优先编码器优先编码器CD4532的示意框图、引脚图的示意框图、引脚图2集成电路编码器集成电路编码器CD4532电路图电路图优先编码器优先编码器CD4532功能表功能表输入入输出出EII7I6I5I4I3I2I1I0Y2Y1Y0GSEOLLLL

22、LLHLLLLLLLLLLLLHHHHHHHLHLHHHLHLHLLHHLHHLHLLLHHLLHLHLLLLHLHHHLHLLLLLHLHLHLHLLLLLLHLLHHLHLLLLLLLHLLLHL为什么要设计为什么要设计GS、EO输出信号?输出信号?用二片用二片CD4532构成构成1616线线-4-4线优先编码器线优先编码器, ,其逻辑图如下其逻辑图如下图所示,试分析其工作原理。图所示,试分析其工作原理。 。0000000无编码输出无编码输出0。1100000若无有效电平输入若无有效电平输入0111那块芯片的优先级高?那块芯片的优先级高?1若有效电平输入若有效电平输入。1010000若有效

23、电平输入若有效电平输入1111译码器的分类:译码器的分类: 译码译码:译码是编码的逆过程,它能将二进制码翻译成代表某译码是编码的逆过程,它能将二进制码翻译成代表某一特定含义的信号一特定含义的信号.(.(即电路的某种状态即电路的某种状态) )1 1 译码器的概念与分类译码器的概念与分类译码器译码器:具有译码功能的逻辑电路称为译码器具有译码功能的逻辑电路称为译码器。唯一地址译码器唯一地址译码器代码变换器代码变换器将一系列代码转换成与之一一对应的有效将一系列代码转换成与之一一对应的有效信号。信号。 将一种代码转换成另一种代码。将一种代码转换成另一种代码。 二进制译码器二进制译码器 二二十进制译码器十

24、进制译码器显示译码器显示译码器常见的唯一地址译码器:常见的唯一地址译码器: 4.4.2 译码器译码器/ /数据分配器数据分配器2 2线线 - 4- 4线译码器的逻辑电路线译码器的逻辑电路( (分析)分析) LHHHHHLHLHHLHLHHLHHLLHHHLLLLHHHHHY3Y2Y1Y0A0A1E输出出输 入入功能表功能表(1.)二进制译码器二进制译码器n个输个输入端入端使能输使能输入端入端2n个输个输出端出端设输入端的个数为设输入端的个数为n,输出端的个数为输出端的个数为M则有则有M=2n2、集成电路译码器集成电路译码器(a)74HC139集成译码器集成译码器 (1. )二进制译码器二进制译

25、码器LHHHHHLHLHHLHLHHLHHLLHHHLLLLHHHHHY3Y2Y1Y0A0A1E输出出输入入功能表功能表逻辑符号说明逻辑符号说明逻辑符号框外部的逻辑符号框外部的符号,表示符号,表示外部输入或输出信号外部输入或输出信号名称,字名称,字母上面的母上面的“”号说明该输入号说明该输入或输出是低电平有效。符号框或输出是低电平有效。符号框内部的输入、输出变量表示其内部的输入、输出变量表示其内部的逻辑关系。在推导表达内部的逻辑关系。在推导表达式的过程中,如果低有效的输式的过程中,如果低有效的输入或输出变量入或输出变量( (如如) )上面的上面的“”号参与运算号参与运算( (如如E E变为变为

26、E E ) ),则在画逻辑图或验证真值表时,则在画逻辑图或验证真值表时,注意将其还原为低有效符号注意将其还原为低有效符号。 E1A111&Y0Y1Y2Y3A0Y0Y2Y1Y3EA1A0(b)74HC138(74LS138)集成译码器集成译码器 引脚图引脚图逻辑图逻辑图74HC138集成译码器集成译码器逻辑图逻辑图74HC138集成译码器功能表集成译码器功能表LHHHHHHHHHHLLHHLHHHHHHLHHLLHHHLHHHHHHLHLLHHHHLHHHHLLHLLHHHHHLHHHHHLLLHHHHHHLHHLHLLLHHHHHHHLHHLLLLHHHHHHHHLLLLLLHHHHHHHHH

27、LHHHHHHHHHXHHHHHHHHHA2E3输输 出出输输 入入A1A0LHHHHHHHHHHLLHHLHHHHHHLHHLLHHHLHHHHHHLHLLHHHHLHHHHLLHLLHHHHHLHHHHHLLLHHHHHHLHHLHLLLHHHHHHHLHHLLLLHHHHHHHHLLLLLLHHHHHHHHHLHHHHHHHHHXHHHHHHHHHA2E3输输 出出输输 入入A1A01 1、已知下图所示电路的、已知下图所示电路的输入信号的波形试画出译码器输出的波形。输入信号的波形试画出译码器输出的波形。译码器的应用译码器的应用2、译码器的扩展译码器的扩展用用74X139和和74X138构

28、成构成5线线-32线译码器线译码器3 3线线8 8线译码器的线译码器的 含三变量函数的全部最小项。含三变量函数的全部最小项。Y Y0 0Y Y7 7基于这一点用该器件能够方便地实现三变量逻辑函数。基于这一点用该器件能够方便地实现三变量逻辑函数。3、用译码器实现逻辑函数。、用译码器实现逻辑函数。.当当E3=1,E2=E1=0时时用一片用一片74HC138实现函数实现函数首先将函数式变换为最小项之和的形式首先将函数式变换为最小项之和的形式在译码器的输出端加一个与非门,即可实现给定的组合在译码器的输出端加一个与非门,即可实现给定的组合逻辑函数逻辑函数.数据分配器:相当于多输出的单刀多掷开关,是一种能

29、将数据分配器:相当于多输出的单刀多掷开关,是一种能将从数据分时送到多个不同的通道上去的逻辑电路。从数据分时送到多个不同的通道上去的逻辑电路。数据分配器示意图数据分配器示意图用用74HC138组成组成数据分配器数据分配器用译码器实现数据分配器用译码器实现数据分配器 010当当ABC =010时,时,Y2=DCBA输输 入入输输 出出E3E E2 2E E1 1A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7LLXXXXHHHHHHHHHLDLLLDHHHHHHHHLDLLHHDHHHHHHHLDLHLHHDHHHHHHLDLHHHHHDHHHHHLDHLLHHHHDHHHHLDHLHHHHHHDHH

30、HLDHHLHHHHHHDHHLDHHHHHHHHHHD74HC138译码器作为数据分配器时的功能表译码器作为数据分配器时的功能表 集成二集成二集成二集成二 十进制译码器十进制译码器十进制译码器十进制译码器 74427442功能:将功能:将8421BCD码译成为码译成为10个状态输出。个状态输出。功能表功能表十进十进制数制数BCD输入输入输输出出A3A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7Y8Y90LLLLLHHHHHHHHH1LLLHHLHHHHHHHH2LLHLHHLHHHHHHH3LLHHHHHLHHHHHH4LHLLHHHHLHHHHH5LHLHHHHHHLHHHH6LHHLHHH

31、HHHLHHH7LHHHHHHHHHHLHH8HLLLHHHHHHHHLH9HLLHHHHHHHHHHL对于对于BCD代码以外的伪码(代码以外的伪码(10101111这这6个代码)个代码)Y0Y9均均为高电平。为高电平。(2)集成二集成二十进制译码器十进制译码器7442显示译码器显示译码器 1. 1. 七段显示译码器七段显示译码器(1 1)最常用的显示器有:半导体发光二极管和液晶显示器。)最常用的显示器有:半导体发光二极管和液晶显示器。 共阳极显示器共阳极显示器共阴极显示器共阴极显示器abcdfge显示器分段布局图显示器分段布局图常用的集成七段显示译码器常用的集成七段显示译码器 -CMOS七段

32、显示译码器七段显示译码器74HC4511 LTHHLHHHHHLLHHHL9HHHHHHHLLLHHHL8LLLLHHHHHHLHHL7HHHHHLLLHHLHHL6HHLHHLHHLHLHHL5HHLLHHLLLHLHHL4HLLHHHHHHLLHHL3HLHHLHHLHLLHHL2LLLLHHLHLLLHHL1LHHHHHHLLLLHHL0gfedcba字形字形输输出出输输入入十进十进制或功制或功能能D3D2D1D0BLLECMOS七段显示译码器七段显示译码器74HC4511功能表功能表*HHH锁锁存存熄灭熄灭LLLLLLLHL灭灭灯灯HHHHHHHL灯灯测测试试熄灭熄灭LLLLLLLHH

33、HHHHL15熄灭熄灭LLLLLLLLHHHHHL14熄灭熄灭LLLLLLLHLHHHHL13熄灭熄灭LLLLLLLLLHHHHL12熄灭熄灭LLLLLLLHHLHHHL11熄灭熄灭LLLLLLLLHLHHHL10LTgfedcba字形字形输输出出输输入入十进制十进制或功能或功能BLLED3D2D1D0CMOS七段显示译码器七段显示译码器74HC4511功能表功能表(续续)例例由由74HC4511构成构成24小时及分钟的译码电路如图所示,小时及分钟的译码电路如图所示,试分析小时高位是否具有零熄灭功能。试分析小时高位是否具有零熄灭功能。4.3.3数据选择器数据选择器1 1、数据选择器的定义与功能

34、、数据选择器的定义与功能 数据选择的功能数据选择的功能:在通道选在通道选择信号的作用下,将多个通择信号的作用下,将多个通道的数据分时传送到公共的道的数据分时传送到公共的数据通道上去的。数据通道上去的。数据选择器:能实现数据选择功能的逻辑电路。它的作用数据选择器:能实现数据选择功能的逻辑电路。它的作用相当于多个输入的单刀多掷开关,相当于多个输入的单刀多掷开关,又称又称“多路开关多路开关” 。4选选1数据选择器数据选择器2 2 位地址位地址码输入端码输入端使能信号输使能信号输入端,低电入端,低电平有效平有效1 1路数据输路数据输出端出端(1 1)逻辑电路)逻辑电路数数据据输输入入端端(2 2)工作

35、原理及逻辑功能)工作原理及逻辑功能00I I3 3011011=1=1=0=001YS0S1E地址地址使能使能输出输出输输 入入功能表功能表000I0001I1010I2011I374LS151功能框功能框图图D7YYE7474HC151151D6D5D4D3D2D1D0S2S1S02、集成电路数据选择器、集成电路数据选择器8选选1数据选择器数据选择器74HC1512 2、集成电路数据选择器、集成电路数据选择器2 2个互补个互补输出端输出端8 8 路数据路数据输入端输入端1 1个使能个使能输入端输入端3 3 个地址个地址输入端输入端74LS151的逻辑图的逻辑图输 入入输 出出使使 能能选 择

36、YYES2S1S0HXXXLHLLLLD0LLLHD1LLHLD2LLHHD3LHLLD4LHLHD5LHHLD6LHHHD73、74LS151的功能表的功能表当当E=1时,时,Y=1。当当E=0时时数据选择器组成逻辑函数产生器数据选择器组成逻辑函数产生器控制控制Di,就可得到不同的逻辑函数。就可得到不同的逻辑函数。5 5、数据选择器、数据选择器74LS151的的应用应用当当D0=D3=D5=D7=0D1=D2=D4=D6=1时:时:当当D0=D3=D5=D7=1D1=D2=D4=D6=0时:时:D7YYE74LS15174LS151D6D5D4D3D2D1D0S2S1S0当当E=0时时:比较

37、比较Y与与L,当,当D3=D5=D6=D7=1D0=D1=D2=D4=0时时,D7E74HC151D6D5D4D3D2D1D0S2S1S0LYXYZ10Y=L例例1试用试用8选选1数据选择器数据选择器74LS151产生逻辑函数产生逻辑函数解解:利用利用8 8选选1 1数据选择器组成函数产生器的一般步骤数据选择器组成函数产生器的一般步骤a a、将函数变换成最小项表达式将函数变换成最小项表达式b b、将使器件处于使能状态将使器件处于使能状态c c、地址地址信号信号S2、S1、S0作为函数的输入变量作为函数的输入变量d d、处理数据输入、处理数据输入D0D7信号电平。逻辑表达式中有信号电平。逻辑表达

38、式中有mi,则相应则相应Di=1,其他的数据输入端均为,其他的数据输入端均为0。总结总结: :用两片用两片74151组成二位八选一的数据选择器组成二位八选一的数据选择器数据选择器的扩展数据选择器的扩展位的扩展位的扩展字的扩展字的扩展 将将两片两片74LS151连接成一个连接成一个16选选1的数据选择器,的数据选择器, 实现并行数据到串行数据的转换实现并行数据到串行数据的转换1.1位数值比较器位数值比较器(设计设计)数值比较器:对两个数值比较器:对两个1位数字进行比较(位数字进行比较(A、B),),以以判断其大小的逻辑电路。判断其大小的逻辑电路。输入:两个一位二进制数输入:两个一位二进制数A、B

39、。输出:输出: FBA=1,表示,表示A大于大于BFBABA=FBAABBA+=FBA=一位数值比较器真值表一位数值比较器真值表10011001010101010000FA=BFABBA输输出出输输入入2、2位数值比较器:位数值比较器:输入:两个输入:两个2 2位二进制数位二进制数A=A1 A0 、B=B1 B0能否用能否用1 1位数值比较器设计两位数值比较器位数值比较器设计两位数值比较器? ? 比较两个比较两个2 2 位二进制数的大小的电路位二进制数的大小的电路当高位当高位(A1、B1)不相等时,无需比较低位(不相等时,无需比较低位(A0、B0),高),高位比较的结果就是两个数的比较结果。位

40、比较的结果就是两个数的比较结果。当高位相等时,两数的比较结果由低位比较的结果决定。当高位相等时,两数的比较结果由低位比较的结果决定。用一位数值比较器设计多位数值比较器的原则用一位数值比较器设计多位数值比较器的原则 真值表真值表001010100A0B0A0B0A0=B0A1=B1A1=B1A1=B1010A1B1FA=BFABA0B0A1B1输 出出输 入入FAB=(A1B1)+( A1=B1)(A0B0)FA=B=(A1=B1)(A0=B0)FAB=(A1B1)+(A1=B1)(A0B=(A1B1)+( A1=B1)(A0B0)FA=B=(A1=B1)(A0=B0)FAB=(A1B1)+(A

41、1=B1)(A0BIABFA B3HLLA3 B2HLLA3 = B3A2 B1HLLA3 = B3A2 = B2A1 B0HLLA3 = B3A2 = B2A1 = B1A0 FBA FBA= =高位片高位片输出输出低位片低位片B3A3B0A0B7A7B4A4用两用两片片74LS85组成组成16位数值比较器(串联位数值比较器(串联扩展方式)。扩展方式)。高位片高位片 输出输出低位片低位片B3A3B0A0B7A7B4A4B11A11B8A8B15A15B12A12采用串联扩展方式采用串联扩展方式数值比较器数值比较器用用7 74HC85组成组成1616位数值比较器的并联扩展方式。位数值比较器的并

42、联扩展方式。B3A3B0A0B7A7B4A4B11A11B8A8B15A15B12A12输出输出4.4.5算术运算电路算术运算电路 在两个在两个1 1位二进制数相加时,不考虑低位来的进位的相加位二进制数相加时,不考虑低位来的进位的相加 -半加半加 在两个二进制数相加时,考虑低位进位的相加在两个二进制数相加时,考虑低位进位的相加 -全加全加 加法器分为半加器和全加器两种。加法器分为半加器和全加器两种。半加器半加器全加器全加器1 1、半加器和全加器、半加器和全加器两个两个4 4 位二进制数相加位二进制数相加: :(1 1) 1 1位半加器(位半加器(HalfAdder) 不考虑低位进位,将两个不考

43、虑低位进位,将两个1 1位二进制数位二进制数A、B相加的器件。相加的器件。 半加器的真值表半加器的真值表 逻辑表达式逻辑表达式1000C011110101000SBA 半加器的真值表半加器的真值表BABAS+ += =如用与非门实现最少要几个门如用与非门实现最少要几个门? ?C = AB 逻辑图逻辑图(2 2) 全加器(全加器(FullAdder) 1110100110010100全加器真值表全加器真值表 全加器能进行加数、被加数和低位来的进位信号相加,全加器能进行加数、被加数和低位来的进位信号相加,并根据求和结果给出该位的进位信号。并根据求和结果给出该位的进位信号。1110111010011

44、10010100000CSCBA 你能用你能用7415174138设计设计全加器吗全加器吗? ? 用这两种器件组成逻辑函数产生电用这两种器件组成逻辑函数产生电路路, ,有什么不同有什么不同? ? 于是可得全加器的逻辑表达式为于是可得全加器的逻辑表达式为加法器的应用加法器的应用1110100110010100全加器真值表全加器真值表 111011101001110010100000CSCBAABC有奇数个有奇数个1时时S为为1;ABC有偶数个有偶数个1和全为和全为0时时S为为0。-用全加器组成三位二进制代用全加器组成三位二进制代码码奇偶校验器奇偶校验器用全加器组成八位二进制代码用全加器组成八位二

45、进制代码奇偶校验器,电路应如何连接?奇偶校验器,电路应如何连接?(1 1)串行进位加法器)串行进位加法器如何用如何用1 1位全加器实现两个四位二进制数相加?位全加器实现两个四位二进制数相加? A3A2A1A0+B3B2B1B0=?低位的进位信号送给邻近高位作为输入信号,采用串行进位低位的进位信号送给邻近高位作为输入信号,采用串行进位加法器运算速度不高。加法器运算速度不高。2 2、多位数加法器、多位数加法器0 0定义两个中间变量定义两个中间变量Gi和和Pi:Gi= AiBi (2 2)超前进位加法器)超前进位加法器 提高运算速度的基本思想:设计进位信号产生电路,在输入每位提高运算速度的基本思想:

46、设计进位信号产生电路,在输入每位的加数和被加数时,同时获得该位全加的进位信号,而无需等待最的加数和被加数时,同时获得该位全加的进位信号,而无需等待最低位的进位信号。低位的进位信号。定义第定义第i 位位的进位的进位信号(信号(Ci):):Ci= GiPi Ci-1 4 4位全加器进位信号的产生:位全加器进位信号的产生:C0= G0+ +P0 C-1C1= G1+ +P1 C0C1= G1+ +P1 G0+ P1P0 C-1 C2= G2+ +P2 C1C2= G2+ +P2 G1+ P2 P1 G0+ P2 P1 P0C-1 C3= G3+ +P3 C2= G3+ +P3 (G2+ P2 C1)

47、=G3+ +P3 G2+P3P2 C1=G3+ +P3 G2+P3P2 (G1+ P1C0 )C3=G3+ +P3 G2+P3P2 G1+ P3P2 P1(G0+ P0C-1)Gi=AiBiCi= GiPi Ci-1 集成超前进位产生器集成超前进位产生器74LS182逻辑图逻辑图逻辑符号逻辑符号超前进位集成超前进位集成4位加法器位加法器74LS283 7474HC283 3逻辑框图逻辑框图 74HC283引脚图引脚图74HC283逻辑框图逻辑框图4. 4. 超前进位超前进位加法器加法器74LS283的应用的应用例例1. 1. 用两片用两片74LS283构成一个构成一个8位二进制数加法器。位二进

48、制数加法器。在片内是超前进位,而片与片之间是串行进位。在片内是超前进位,而片与片之间是串行进位。8421码输入码输入余余3 3码输出码输出1 10 0例例.用用74283构成将构成将8421BCD码转换为余码转换为余3码的码的码制转换电路码制转换电路。8421码码余余3码码000000010010001101000101+0011+0011+0011CO3 3 减法运算减法运算 在实际应用中,通常是将减法运算变为加法运算来处在实际应用中,通常是将减法运算变为加法运算来处理,即采用加补码的方法完成减法运算。理,即采用加补码的方法完成减法运算。若若n位二进制的原码为位二进制的原码为N原原,则与它相

49、对应的,则与它相对应的2的补码为的补码为N补补=2N N原原补码与反码的关系式补码与反码的关系式N补补=N反反+1设两个数设两个数A、B相减,利用以上两式相减,利用以上两式可得可得A B=A+B补补 2n=A+B反反+1 2n1 1)A B 0的情况。的情况。2 2)A B0的情况的情况。 结果结果表明,在表明,在AB 0时,时,如加补进位信号为如加补进位信号为1,所得的差,所得的差就是差的原码。就是差的原码。在在AB=右移右移左移左移位运算符与缩位运算的比较位运算符与缩位运算的比较A:4b1010、B:4b1111,AB=1010AB=0101A|B=1111A&B=1010A=0101B=

50、0000位运算位运算A=1B=1A=0B=0|A=1|B=0&A=1&B=1&A=1&0&1&0=0缩位运算缩位运算对同一个操作数的重复拼接还可以双重大括号构成的运算符对同一个操作数的重复拼接还可以双重大括号构成的运算符例如例如4A=4b1111,2A,2B,C=8b11101000。作用是将两个或多个信号的某些位拼接起来成为一个新的操作数,作用是将两个或多个信号的某些位拼接起来成为一个新的操作数,进行运算操作。进行运算操作。位拼接运算符位拼接运算符设设A=1b1,B=2b10,C=2b00则则B,C4b1000A,B1,C03b110A,B,C,3b101=8b11000101。一般用法:一

51、般用法:condition_expr?expr1:expr2;条件运算符条件运算符是三目运算符,运算时根据条件表达式的值选择表达式。是三目运算符,运算时根据条件表达式的值选择表达式。首先计算第一个操作数首先计算第一个操作数condition_expr的值,如果结果为逻辑的值,如果结果为逻辑1,则选择第二个操作数则选择第二个操作数expr1的值作为结果返回,结果为逻辑的值作为结果返回,结果为逻辑0,选择第三个操作数选择第三个操作数expr2的值作为结果返回。的值作为结果返回。2、数据流建模举例、数据流建模举例连续赋值语句的执行过程是:只要逻辑表达式右边变量连续赋值语句的执行过程是:只要逻辑表达式

52、右边变量的逻辑值发生变化,则等式右边表达式的值会立即被计算的逻辑值发生变化,则等式右边表达式的值会立即被计算出来并赋给左边的变量。出来并赋给左边的变量。注意,在注意,在assign语句中,左边变量的数据类型必须是语句中,左边变量的数据类型必须是wire型。型。数据流建模使用的基本语句是连续赋值语句数据流建模使用的基本语句是连续赋值语句assign,该语,该语句用于对句用于对wire型变量进行赋值,型变量进行赋值,它由关键词它由关键词assign开始,后面跟着由操作数和运算符组成的开始,后面跟着由操作数和运算符组成的逻辑表达式。逻辑表达式。2选选1数据选择器的连续赋值描述是:数据选择器的连续赋值

53、描述是:wireA,B,SEL,L;/声明声明4个连线型变量个连线型变量assignL=(A&SEL)|(B&SEL);/连续赋值连续赋值/Dataflowdescriptionofa2-to-4-linedecoder,moduledecoder_df(A1,A0,E,Y);inputA1,A0,E;output3:0Y;assignY0=(A1&A0&E);assignY1=(A1&A0&E);assignY2=(A1&A0&E);assignY3=(A1&A0&E);endmodule/Dataflowdescriptionof2-to-1-linemultiplexermodulemu

54、x2x1_df(A,B,SEL,L);inputA,B,SEL;outputL;assignL=SEL?A:B;endmodule用条件运算符描述了一个用条件运算符描述了一个2选选1的数据选择器。的数据选择器。在连续赋值语句中,如果在连续赋值语句中,如果SEL1,则输出,则输出LA;否则;否则LB。4.6.3 组合逻辑电路的行为级建模组合逻辑电路的行为级建模行为级建模就是描述数字逻辑电路的功能和算法。行为级建模就是描述数字逻辑电路的功能和算法。一般使用一般使用always结构,后面跟着一系列过程赋值语句,结构,后面跟着一系列过程赋值语句,给给reg类型的变量赋值。类型的变量赋值。if(cond

55、ition_expr1)true_statement1;elseif(condition_expr2)true_statement2;elseif(condition_expr3)true_statement3;elsedefault_statement;1、条件语句(、条件语句(if语句)语句)条件语句就是根据判断条件是否成立,确定下一步的运算。条件语句就是根据判断条件是否成立,确定下一步的运算。if(condition_expr)true_statement;if(condition_expr)true_statement;elsefale_statement;Verilog语言中有语言中

56、有3种形式的种形式的if语句:语句:if后面的条件表达式一般为逻辑表达式或关系表达式。执行后面的条件表达式一般为逻辑表达式或关系表达式。执行if语句时,首先计算表达式的值,若结果为语句时,首先计算表达式的值,若结果为0、x或或z,按,按“假假”处理;若结果为处理;若结果为1,按,按“真真”处理,并执行相应的语句。处理,并执行相应的语句。是一种多分支条件选择语句,一般形式如下:是一种多分支条件选择语句,一般形式如下:case(case_expr)item_expr1:statement1;item_expr2:statement2; default:default_statement;/defa

57、ult语句可以省略语句可以省略2、多路分支语句(、多路分支语句(case语句)语句)/Behavioraldescriptionof2-to-1-linemultiplexermodulemux2to1_bh(A,B,SEL,L);inputA,B,SEL;outputL;regL;/defineregistervariablealways(SELorAorB)if(SEL=1)L=b;/也可以写成也可以写成if(SEL)L=B;elseL=A;endmodule例例/Behavioraldescriptionof4-to-1-linemultiplexermodulemux4to1_bh(A,SEL,E,L);input3:0A;input1:0SEL;outputL;regL;always(AorSELorE)beginif(E=1)L=0;elsecase(SEL)2d0:L=A0;2d1:L=A1;2d2:L=A2;2d3:L=A3;endcaseendendmodule

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号