2022年2022年基于单片机的心电监测系统设计-贺州学院大学论文

上传人:枫** 文档编号:567326834 上传时间:2024-07-20 格式:PDF 页数:37 大小:2.07MB
返回 下载 相关 举报
2022年2022年基于单片机的心电监测系统设计-贺州学院大学论文_第1页
第1页 / 共37页
2022年2022年基于单片机的心电监测系统设计-贺州学院大学论文_第2页
第2页 / 共37页
2022年2022年基于单片机的心电监测系统设计-贺州学院大学论文_第3页
第3页 / 共37页
2022年2022年基于单片机的心电监测系统设计-贺州学院大学论文_第4页
第4页 / 共37页
2022年2022年基于单片机的心电监测系统设计-贺州学院大学论文_第5页
第5页 / 共37页
点击查看更多>>
资源描述

《2022年2022年基于单片机的心电监测系统设计-贺州学院大学论文》由会员分享,可在线阅读,更多相关《2022年2022年基于单片机的心电监测系统设计-贺州学院大学论文(37页珍藏版)》请在金锄头文库上搜索。

1、贺州学院本科毕业论文(设计)摘 要心脏病已成为危害人类健康的主要疾病之一。据统计,心血管疾病是威胁人类生命的主要疾病,世界上心脏病的死亡率仍占首位。因此,对心血管疾病的诊断、治疗一直被世界各国医学界所重视,准确地进行心电信号提取,为医生提供有效的辅助分析手段是重要而有意义的课题。随着电子技术的迅速发展,医用电子监护系统近年来己在临床诊断中逐渐应用。针对心电信号的特点进行心电信号的采集、数据转换模块的设计与开发。设计一种用于心电信号采集的电路, 然后进行 A/D转换,使得心电信号的频率达到采样要求。人体的心电信号是一种低频率的微弱信号,由于心电信号直接取自人体,所以在心电采集的过程中不可避免会混

2、入各种干扰信号。为获得含有较小噪声的心电信号,需要对采集到的心电信号做降噪处理。首先,设计心电采集模块,包括心电前置放大器、带通滤波电路、线性光耦放大电路、 50 Hz 陷波电路、35 Hz 陷波电路及电平抬升电路,A/D 转换电路输出显示电路等。 其次,由于越来越多的研究者发现心电图中变化与大多数心血管疾病都有着紧密的联系,因此,本课题设计了心电信号检测方法,包括心电信号的采集,放大以及波形的液晶显示。在论文当中,设计的电路能够有效的抑制了各种干扰,检测出良好的心电信号。论文的研究工作基本上达到了设计的要求,为进一步的产品开发打下了良好的基础。关键词 : 心电信号采集,降噪, A/D 转换放

3、大,电源电路,单片机名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 1 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)ABSTRACTHeart disease has become the one of major disease ,which does harm to human healthAccording to statistics,cardiovascular disease is the major disease of threat

4、ening human life The death rate of heart disease still takes the first place around the world, so the diagnose and treatment for cardiovascular disease is paid much attention by the medical circle around the worldAccurately extracting ECG signal and providing effective method of auxiliary analyses i

5、s a very meaningful task Along with quick development of electronics technique,Medical electron monitoring system has been applied to the clinical diagnosis in the recent yearsECG signal acquisition, data conversion module design and development beyond the ECG characteristics. Design a circuit for E

6、CG acquisition, and then do the A / D conversion, make the frequency of ECG sampling requirements to achieve. ECG signal is a low frequency signal, because ECG is taken directly from the human body, so the process of ECG acquisition inevitably mixed with a variety of interference signals. In order t

7、o obtain Low noise ECG signal, we need to do noise reduction of the collected ECG signal. Now, there are many ways to do the noise reduction of the ECG signal, this article introduce how to separate noise from signal using the filter. KEYWORDS : ECG signal acquisition, noise reduction, A / D convers

8、ion, power circuit 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 2 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)目 录摘 要 . 1 ABSTRACT . 2 目 录 . 3 1 绪论 . 4 11 心电监测系统的发展背景及意义. 4 12 心电监测系统的发展现状. 4 13 心电监测系统的未来发展方向和展望. 5 2 系统设计方案论证 . 5 21 心电监测系统的总体设计要求. 5 22 心电监测系统的系统结构设计. 6

9、23 心电监测系统设计的可行性论证. 7 3 硬件电路设计 . 7 31 心电信号采集电路的设计. 7 32 前置放大电路的设计. 8 33 补偿电路的设计 . 9 34 滤波电路的设计 . 10 35 主放大电路的设计. 13 36 同相加法器电路的设计. 14 37 ADC0808 转换电路的设计 . 14 38 显示电路的设计 . 15 4 程序的设计 . 19 41 程序编译环境 . 19 42 程序设计思想 . 19 5 仿真软件的应用与调试分析 . 22 51 Proteus 仿真软件 . 22 52 前置放大电路调试与分析. 22 53 滤波电路调试与分析. 23 54 主放大电

10、路调试与分析. 27 55 同相加法器电路调试与分析. 28 56 显示电路调试与分析. 29 结论 . 33 致谢 . 34 参 考 文 献 . 35 附录:系统总电路图 . 36名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 3 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)1 绪论当今心血管疾病已成为威胁人类健康和生命的主要疾病之一,心脏病的死亡率仍居首位。据统计,世界上平均每年约几百万人死于此类疾病,我国因心血管疾病死亡人数约占总死亡人数的

11、44, 很多心脏病人是由于未及时发现病变延误了治疗而最终导致死亡1。因此,对心血管疾病的诊断、预防是当今医学界面临的首要问题。尽早地发现心血管系统疾病征兆,及时地了解心脏病状况,对疾病的预防和及时诊治具有重要的意义。11 心电监测系统的发展背景及意义心脏对于人体来说至关重要。心脏是人体血液循环的动力泵,心脏搏动是生命存在的重要标志,心脏搏动的节律也是人体生理状态的重要标志之一。心脏的基本活动包括电活动和机械活动,每个心动周期都是电活动在前,机械活动在后。心电信号是心脏电活动的一种客观表示方式,是一种典型的生物电信号, 具有频率、振幅、相位、时间差等特征要素,比其他生物电信号更易于检测,并具有一

12、定的规律性。由于心电信号从不同方面和层次上反映了心脏的工作状态,因此在心脏疾病的临床诊断和治疗过程中具有非常重要的参考价值。对心电信号的采集和分析一直是生物医学工程领域研究的一个热点,是一项复杂的工程,涉及到降低噪声和抗干扰技术,信号分析和处理技术等不同领域, 也依赖于生命科学和临床医学的研究进展1。自 1903年心电图引入医学临床以来,无论是在生物医学方面,还是在工程学方面,心电信号的记录、处理与诊断技术均得到了飞速的发展,并积累了相当丰富的资料。当前,心电信号的检测、处理仍然是生物医学工程界的重要研究对象之一。12 心电监测系统的发展现状目前,国内各大医疗器械厂以及科研单位都对心电监测系统

13、的开发上极度关注,并且都研发出了具有特色的心电监测系统产品。电子医疗技术的突飞猛进以及临床医学的相互促进,已经出现了各种各样的心电监测产品,常见的有床边心电监测、动态心电监测、电话心电监测和天线心电监测等。1.2.1 床边心电监测从 1962 年创立了冠心病监护病房以来, 国内外均先后开展了床边心电监护。 目前,心电监护已广泛应用到临床各种危重病和非危重病的监护,各种手术、特殊检查与治疗的监护。由于床边心电监护的开展,提高了临床诊断水平与医疗质量,极大地改善名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - -

14、 - - - - 第 4 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)危重病人的抢救,起到预防和减少医疗事故或医疗纠纷的作用,也减轻了医护人员劳动强度,提高了工作效率。1.2.2 动态心电监测动态心电图记录了不同体位、不同状态、不同时间的心电变化,一次获得的信息长达 2.16Km , 十余万次心搏和十余万次心动周期,现已成为诊断心率失常、 心肌缺血、评估起搏功能和药物疗效的高新技术。1.2.3 电话心电监测电话传送心电监护是极大地缩短了医患、护患之间的距离,给患者一种安全感,从而稳定了患者不安的心理状态, 消除了心理压力和负担, 减少了心脏病的诱发因素。作

15、为长期监护的患者, 则在不断得到急救、 护理指导的同时, 提高了自救、 自护能力。TTM系统在国内的应用处在起步阶段,院外急救护理也是一个新的课题,需更进一步探讨、总结和提高,以更好地造福于全社会的心脏病患者。但是,如今有很多心电监测仪还没有得到普及,还存在一些问题:(a)提供的心电处理功能和记录的心电信息有限,医生从中难以得到全面的电信息,降低了医生对疾病诊断的准确率。(b)通常都选用了数字信号处理器作为心电数据分析的核心器件,而数据通信、实时时钟、液晶显示、程序存储器等都需要外扩专门的功能器件,致使结构比较复杂,体积比庞大,同时功耗也比较严重,价格比较昂贵,一般患者难以承受。(c)与之配套

16、的监护网络和心电数据处理中心尚不完善。13 心电监测系统的未来发展方向和展望传统的基于PC机平台的心电监测仪价格昂贵,体积庞大,不便于移动且主要集中在大医院而无法实时监测患者的病情,给医生和患者带来了很大的不便。近年来,随着计算机网络、通信等相关技术的迅速发展,心电监测技术已经逐渐应用到远程医疗领域中,使得医院为心脏病患者的远程保健服务成为可能。未来的心电监测系统会具有成本低、体积小、可靠性高、操作简单等优点,会继续向全信息、固态记录、多导联同步采集等方向发展,适用于个人、中小医院和社区医疗单位,为家庭保健和远程医疗等新兴的医疗途径提供良好的帮助和支持。2系统设计方案论证21 心电监测系统的总

17、体设计要求名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 5 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)本课题的题目是基于单片机的心电监测系统设计。需要心电监测信号经采集装置上传到前置放大器,信号先进行放大8 倍,再通过滤波电路进行滤波,滤掉0.05Hz 以下频率以及 105Hz以上频率,又阻止 50Hz工频干扰信号。已经滤波的信号经过后级放大电路将其放大至伏特级别,再经过加法器电路将其波形提升到0V以上,便于单片机A/D 转化电路直接转化为数

18、字值。经过处理的心电信号最终由简易的示波器显示到液晶屏上,以便使用者能够实时方便的观察到心电波的频谱与波形。液晶屏选用具有 KS0108控制器的 12864LCM, 采用 AT89C51 单片机进行数据的处理并驱动显示屏显示波形。22 心电监测系统的系统结构设计对于心电信号的采集,采用标准导联方式进行采集。心电信号是一种微弱的电信号,要先利用前置放大电路将信号放大8 倍。由于人体信号源中存在各种噪声干扰,为了抵消这些干扰,可以设计一个补偿电路。对于放大以后的信号,让它通过滤波电路进行滤波。心电信号中存在0.05Hz 以下的频率信号、 105Hz以上的频率信号和50Hz的工频干扰信号,需要让心电

19、信号依次通过低通滤波器、高通滤波器和带阻滤波器,经过滤波电路以后的信号变的比较干净。然后为将心电信号放大到伏特级别,让其通过一个主放大电路。同时,为了便于单片机和ADC0808 的信号采集和处理,可以让心电信号通过一个加法器电路,将波形提升到0V 以上。然后通过显示电路让经过单片机处理的信号显示在液晶屏上。整个系统的结构图如图2.1 所示。补偿电路仪表放大器前置电路滤波器电路主放大电路同相加法器ADC0808 单片机心电信号采集显示电路名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第

20、6 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)图 2.1 系统结构图23 心电监测系统设计的可行性论证在所学的知识中,对于心电信号采集,可以利用特定的传感器进行心电信号的采集;对于前置放大电路,可以采用集成仪表放大器AD620进行信号的前置放大;对于补偿电路,可以用运算放大器和反馈电阻组成补偿电路;对于滤波电路,可以利用有源滤波器电路通过计算设计出符合要求的低通滤波器、高通滤波器和带阻滤波器;对于主放大电路,可以利用集成运算放大器对信号进行再次放大;对于加法器电路,可以利用集成运算放大器来进行加法器的设计;对于心电信号的数字化以及分析处理,可以利用单片机

21、和ADC0808 来设计硬件电路;对于显示电路,可以让心电信号在液晶上显示。 A/D 转换电路,显示电路以及单片机对心电信号的分析处理需要进行软件的编写。通过论证,上述的系统结构设计方案可行,可以达到心电监测系统的设计要求。3 硬件电路设计31 心电信号采集电路的设计心电信号是一种典型的人体生理信号,具有生物电信号的普遍特征,如幅度小、频率低并且易受外界环境干扰,为采集和测量带来了难度。由于本系统需要进行大量的数学运算,所以对处理器的数据处理能力和速度也有很高的要求。如果选用处理速度很快的处理器, 则相应的外设也要有与之相适应的性能指标16。 综合各个方面因素,电路设计要求:(1) 对微弱的心

22、电心电信号进行放大和滤波等必要的信号调理a)设计合理的导联系统,选择合适的传感器。b)设计合理的有源滤波器,能够进行005-100Hz的带通滤波, 50Hz陷波。c) 实现1000倍的信号放大。d)实现信号电压抬高。(2) 进行符合要求的 AD 转换根据采样定理,采样频率要是心电频率的2倍以上,所以 A/D的采样频率至少要达到200Hz以上。(3) 设计电源电路名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 7 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论

23、文(设计)32 前置放大电路的设计本设计中的前置放大电路采用集成仪表放大器AD620 。由于所要处理的电信号比较微弱,况且其波形质量要求偏高,须具有高输入阻抗,高共模抑制比,低噪声以及低漂移。因此在本次设计中可以选用集成仪表放大器AD620来进行前置放大电路的设计。3.2.1 AD620芯片简介AD620内部由三个放大器共同组成,其引脚图如图3.2 所示。在使用中,芯片1、8 脚接 Rx,4、7 脚接正负相等的工作电压,2、3 接输入的弱电压信号, 6 脚为输出引脚,5 脚为参考基准。图 3.2 AD620管脚图本设计可以通过调整Rx的大小来调整 AD620 的增益值, 其增益可以通过公式 (

24、3.1)进行计算。49.41GKGR(3.1) AD620增益范围是 11000。它具有低耗电,温度稳定性好,精确度高,低噪声,放大频带宽,具有较高的共模抑制比,噪声系数小,调节方便等优点。该芯片可提供的最大电流为 1.3mA的电流。适用于 ECG 测量、医疗器件、压力测量、信号采集等场合。3.2.2前置放大电路设计如图 3.3 所示,差分输入端IO2、IO3 分别接标准导联的正负输入端,R1、R4、R5共同决定放大电路的放大倍数。在整体的电路工作中,因为心电信号比较微弱,须放大1000 倍左右。但是,依据小信号放大器的设计原则,前级的增益不能设置太高, 所以前级增益过高将不便于后续电路对噪声

25、的处理, 故在本次设计中, 可以要求前级电路放大8 倍以便于后面对心电信号进行处理。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 8 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)图 3.3 前置放大电路3.2.3 元器件参数计算表 3.2 元器件参数元器件R1R4R5C1参数8.25k 24.9k 24.9k 4.7 FAD620的外围电路仅为一个控制增益的电阻Rx,由公式(3.1 )计算可以得出此处的增益:454549.4k49.4k()1=

26、18.07XRRGRR R(3.2 )通过计算,从中可以看出前置放大电路的增益约为8 倍,符合设计要求。因此本设计可以选用表3.2 中的元器件来搭建放大电路, 保证设计的前置电路符号设计的要求。33 补偿电路的设计在本次设计中可以引入补偿电路3。是为了能够抵消人体信号源中的各种噪声干扰,也包括工频干扰。引入补偿电路是通过在前级放大电路的反馈端与信号源地端建立共模负反馈,为提高反馈深度,将反馈信号放大后接人体信号源参考端,这样能够有效的抵消工频干扰。运算放大器AD705J 、R2、R3、C1共同组成补偿电路, IO1 连接人体信号源参考端。电路如图 3.4 所示。名师资料总结 - - -精品资料

27、欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 9 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)图 3.4 补偿电路电路图34 滤波电路的设计3.4.1 低通滤波器电路的设计为了滤除 105Hz以上的干扰信号,需要设计一个截止频率为105Hz的低通滤波器。本设计可以采用有源低通滤波器,根据学过的滤波器知识,先确定低通滤波器的大致形式,然后通过计算确定滤波器选用的电阻、电容值,确定截止频率为105Hz 。在这次设计中,可以采用的运算放大器为OP07 ,设计的电路图如图3.5 所示

28、。图 3.5 低通滤波器电路图根据设计要求,=105fHz, 由公式( 3.3 )1=2fRC (3.3) 可以计算出若10Rk,则= 0.15CuF。由于采用巴特沃斯滤波器,可知名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 10 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)0.707Q。又因为公式( 3.4 )1213CQC (3.4) 可以计算得出12318nF,C =71nFC。表 3.3 元器件参数组件名R1R2R3R4C1C2参数值1

29、0k10k10k20k318nF 71nF 通过计算, 设计的低通滤波器满足截止频率为105Hz的设计要求。各元器件的参数值如表 3.3 所示。3.4.2 高通滤波器电路的设计为了滤除 0.05Hz 以下的干扰信号, 需要设计一个截止频率为0.05Hz 的高通滤波器。本设计可以采用有源高通滤波器,根据学过的滤波器知识,先确定高通滤波器的大致形式,然后通过计算确定滤波器选用的电阻、电容值,确定截止频率为0.05Hz。在这次设计中,可以采用的运算放大器为OP07 ,设计的电路图如图3.6 所示。图 3.6 高通滤波器电路图根据设计要求,=0.05zfH, 由公式( 3.5 )1=2fRC (3.5

30、) 可以计算出若10uCF,则318.47Rk。由于采用巴特沃斯滤波器,可知0.707Q。又因为公式( 3.6 )名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 11 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)121=2RQR (3.6) 可以计算得出12450,R =225kRk。表 3.4 元器件参数组件名R1R2C1C2参数值450k225k27nF 27nF 通过计算,设计的高通滤波器满足截止频率为0.05Hz 的设计要求。各元器件的参

31、数值如表 3.4 所示。3.4.3带阻滤波器电路的设计为了滤除 50Hz的工频干扰信号,需要设计一个50Hz工频的带阻滤波器,尽可能的消除工频干扰3。本设计可以采用有源带阻滤波器,根据学过的滤波器知识,先确定带阻滤波器的大致形式,然后通过计算确定滤波器选用的电阻、电容值,确定阻带频率为 50Hz。在这次设计中, 可以采用的运算放大器为OP07 ,设计的电路图如图3.7所示。图 3.7 带阻滤波器电路图根据设计要求,=50fHz, 由公式( 3.7 )1=2fRC(3.7 )能够计算出假如0.1CuF,则31.8Rk。令参数值输入到电路中发现,衰减3dB时的带宽为 4357Hz,但衰减深度仅为7

32、.43dB,需要调整器件参数值。经多次调整,最后选定47,68,RkCnF能通过计算得出:名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 12 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)323.52RRk(3.8 )32136CCnF(3.9 )为了调整深度,仿真调试后选用最佳K 值为 0.96,取 R4、R6电阻初始值为 5k, 故:450.04=200Rkk(3.10 )64.98kR(3.11 )表 3.5 元器件参数元器件R1R2R3R

33、4R6C1C2C3参数值47 k 47 k 23.5 k 200 4.98 k 68 nF 68 nF 136 nF 通过计算,设计的带阻滤波器满足截止频率为50Hz 的设计要求。各元器件的参数值如表 3.5 所示。35 主放大电路的设计人体输出的心电信号大约是毫伏级别的,为了便于单片机采集,本设计需要将心电信号放大到伏特级别。在前置放大电路中,为了便于滤波,已经将心电信号放大了8 倍。 理论上需要将心电信号放大1000倍。所以,本设计需要再设计一个主放大电路,继续将信号放大125 倍。在电路设计上,可以采用运算放大器OP07完成。为了增加输入阻抗降低输出阻抗,可以在主放大电路前加一个电压跟随

34、器电路。主放大电路如图 3.8 所示。图 3.8 主放大电路图从图 3.8 可以看出,前一个运算放大器组成电压跟随器电路,后一个运算放大器名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 13 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)组成反相放大电路,放大倍数由R1、R3、R23共同决定,此处增益为125。36 同相加法器电路的设计经过主放大电路的处理,已经把心电信号放大到了伏特级别,但是,心电信号可能存在负电压,不方便单片机和ADC0808

35、的采集,需要设计一个加法器电路,把心电信号提升到0V 以上,最后在程序编写时再将检测到的信号减去信号相加的直流电压即可以得到实际的电压值。加法器电路如图3.9 所示。图 3.9 加法器电路图同相加法器电路中,存在如下关系:45/ /NRRR (3.12) 123/ / /PRRRR (3.13) NPRR (3.14) 取150Rk,则根据上式可以计算出其它元器件的值,如表3.6 所示。表 3.6 元器件参数元器件R1R2R3R4R5R6R7参数值50k50k50k50k25k1k10k37 ADC0808 转换电路的设计3.7.1 ADC0808 芯片简介ADC0808 是采样分辨率为 8

36、位的、 以逐次逼近原理进行模 / 数转换的器件。ADC0808名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 14 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)芯片有 28 条引脚,采用双列直插式封装,其管脚图如图3.10 所示。图 3.10 ADC0808 管脚图3.7.2信号采集电路的设计把 ADC0808 的输出口分别依次与单片机的P0口连接,3 位地址输入线都接地, 选择 IN0 路作为模拟输入端,心电信号由此端输入。给ADC0808 芯

37、片连接电源,时钟信号。如图 3.11 所示。该图为心电信号的采集电路。图 3.11 信号采集电路38 显示电路的设计3.8.1 单片机芯 AT89C51 最小系统电路名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 15 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)AT89C51单片机为很多嵌入式控制系统能够满足灵活性高且价廉的设计。对于AT89C51 单片机而言,最下系统应该包括单片机、晶振电路和复位电路。如图3.13所示。图 3.13 单片机最

38、小系统电路图3.8.2键盘电路的设计为了能够更好的观察液晶显示的心电信号波形,本设计需要对显示波形的周期和幅度加以控制,使它能够完整的显示在液晶屏上,不会出现波形的失真。为此,需要设计一个键盘电路, 控制波形显示的周期和幅度。 对此,通过定义单片机的P3.0 为 Y轴幅度的减少控制端口, P3.1 为 Y轴幅度的增加控制端口,P3.3 为 X轴幅度的减少控制端口, P3.7 为 X轴幅度的增加控制端口。 通过程序的编写, 本设计可以使得每按下一次按键,就可以得到相应的波形的改变,方便对心电信号的观察。键盘电路图如图 3.14 所示。名师资料总结 - - -精品资料欢迎下载 - - - - -

39、- - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 16 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)图 3.14 键盘电路图3.8.3 显示电路的设计12864是 128*64 点阵液晶模块的点阵数简称, 是业界约定俗成的简称。 该点阵的屏显成本相对较低,适用于各类仪器,小型设备的显示领域。其管脚图如图3.15 所示。图 3.15 液晶管脚图12864内部存储器 DDRAM 与显示屏上的显示内容具有一一对应的关系,只需将显示的内容写入到12864内部显示存储器DDRAM 中,就能实现正确显示。12864液晶显

40、示屏横向有 128个点,纵向有 64 个点,分为左半屏和右半屏。在本次设计要求中, 要求通过 12864点阵图形液晶显示模块显示单片机处理后的心电图形。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 17 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)12864点阵图形液晶显示模块的各管脚的功能如表3.8 所示。表 3.8 12864点阵图型液晶显示模块的引脚功能引脚符号功能1 1CS1:选择左边64*46 点2 2CS1:选择右边64*46 点3

41、 GND 地4 VCC +5V电源5 V0 显示驱动电源05V 6 RS 1:数据输入, 0:命令输入7 R/W 1:数据读取, 0:命令写入8 E 使能信号,负跳变有效916 DB0 DB7 数据信号17 RST复位,低电平有效18 -VoutLCD驱动负电源在本次设计中,既在液晶上显示了汉字,也将实时显示心电信号的波形。显示电路电路图如图 3.16 所示。由单片机驱动显示屏进行显示。其中,P1.0P1.7 为显示屏数据端口 D0 D7 ,P2.0P2.4 为显示屏控制端口。图 3.16 显示电路名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - -

42、 - - - - 名师精心整理 - - - - - - - 第 18 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)4 程序的设计41 程序编译环境该论文选择的单片机是AT89C51 , 他的编译环境就是51单片机下的编译环境Keil 2。首先创建一个工程文件,然后新建文件编写程序,通过编译生成hex 文件。把生成的 hex 文件加载到 Protues 仿真软件的单片机中就可以进行相应的仿真调试。42 程序设计思想本次设计中,程序的主要功能是进行A/D 转换,将输入的模拟信号转换为数字信号,后经过数据的处理,驱动12864LCD 显示信号的波形和相关信息。4

43、.2.1 程序设计思想本次设计的电路实际上就是一个具有专用性质的示波器,可以进行波形的显示以及显示的周期和幅度的控制。第一须将输入的心电信号经ADC0808 转换成数字信号,且将转换后的信号保存在一个数组中,再对得到的数据进行相应的计算和处理得出波形的参数值,最后再驱动显示器将数组中的电压数值以波形的形式显示到屏幕上,就这样不停的进行数据采集, 不停的一屏一屏的刷新显示内容,从而完成实时显示功能。当键盘电路有输入时,对数据进行相应的处理,在显示在屏幕上。4.2.2 程序设计的端口定义在程序编写之前,首先需要对单片机初始化,定义其各个管脚的功能。如表4.1所示。表 4.1 程序的端口定义IN0

44、模拟信号输入端P3.0 Y轴方向幅度减少P3.1 Y轴方向幅度增加P3.3 X轴方向周期减少P3.7 X轴方向周期增加P1.0 P1.7 显示屏数据端口D0 D7 P2.0 P2.4 显示屏控制端口 4.2.3 程序设计流程图在程序编写之前首先确定确定程序编写的流程图。通过流程图,可以很方便的编写程序。把软件程序分成几个模块,然后按模块编写对应的程序。本次设计对应的流名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 19 页,共 37 页 - - - - - - - - - 贺州学院本

45、科毕业论文(设计)程图分别如图 4.1 和图 4.2 所示。在主流程图中,首先对单片机初始化,定义单片机各个端口的功能,方便以后编程时直接使用。然后对ADC0808 芯片初始化,定义输入端口。然后对LCD初始化,在对定时器初始化,设置其参数,接着LCD清屏,方便显示。然后单片机进入延时,当单片机监测到液晶空闲时, 输出数据,通过液晶显示在屏幕上。 主流程流程图如图 4.1所示。图 4.2 为实时显示流程图。当单片机检测到赋值位为1 时,启动ADC0808, 让ADC0808 进行采样,采样得到的数据经单片机处理后显示在液晶屏幕上。通过键盘电路,可以控制实时显示的波形。当单片机检测到X轴按键增加

46、键按下时,调整显示图形使其周期变大,当单片机检测到X轴按键减少键按下时,调整显示图形使其周期变小,当单片机检测到Y轴按键增加键按下时,调整显示图形使其幅度变大,当单片机检测到 Y轴按键减少键按下时,调整显示图形使其幅度变小,当检测到循环标志位变为 0 时,停止采样,停止输出波形。图 4.1 主程序流程图开始端口初始化ADC初始化LCD初始化定时器 1 初始化LCD清屏延时实时扫描显示名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 20 页,共 37 页 - - - - - - - -

47、 - 贺州学院本科毕业论文(设计)图 4.2 实时显示程序流程图X轴增加键按下?循环标志赋值1 循环标志为1?AD实时采样实时电压显示X轴减少键按下?Y轴增加键按下?Y轴减少键按下?调整周期调整周期调整幅度调整幅度Y Y Y Y Y N N N N N 返回循环标志复位运行状态标志置位名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 21 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)5 仿真软件的应用与调试分析51 Proteus 仿真软件该软件的

48、特点:(a)实现了单片机仿真和SPICE电路仿真相结合。(b)支持主流单片机系统的仿真。(c)提供软件调试功能。(d)具有强大的原理图绘制功能。52 前置放大电路调试与分析在对前置放大电路进行仿真时,可以将方波作为输入信号,幅度为-2 +2mV ,设定频率为10Hz,将差分输入端一端接地,利用示波器观察输入输出波形。如图5.1所示。从图 5.1 可以看到,信道 B为输入信道,信道 A为输出信道。输出通道的幅值为 15.64 mV,可以计算出放大倍数为:15.64=7.822outUinVmVAVmV(5.1 )通过计算,可以认为仿真结果与设计要求基本符合,从图5.1 可以看出,前置放大电路的效

49、果比较理想,满足设计要求。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 22 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)图 5.1 前置放大电路输入输出波形53 滤波电路调试与分析5.3.1 低通滤波器调试与分析首先用波特仪来对低通滤波器进行通频带仿真。如图5.2 所示,通过波特仪可以看到,当衰减为 -3dB 时,截止频率约为102.56Hz,基本满足设计要求。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - -

50、- - - - - - - - 名师精心整理 - - - - - - - 第 23 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)图 5.2 低通滤波器频带仿真在对低通滤波电路进行仿真时,可以将方波作为输入信号,设置其幅度为-2 +2mV ,设定频率为 10Hz ,利用示波器观察输入输出波形。如图5.3 所示。可以看到,输入幅度为 -2+2mV的方波信号时, 输出的波形在方波的开始端出现略微的失真,其中,信道 A为输入信道,信道 B为输出信道。总体来讲,信号通过低通滤波器后,可以认为没有产生失真。波形的幅度也没有发生较大的放大或减小,在误差范围内,可以认为信

51、号通过该低通滤波器后,波形、幅度没有发生变化,符合设计要求。图 5.3 低通滤波电路输入输出波形仿真5.3.2 高通滤波器调试与分析在对高通滤波电路进行仿真时,可以将方波作为输入信号,设置其幅度为-2 +2mV ,设定其频率为 10Hz,利用双通道示波器观察其输入输出波形。如图5.4 所示。可以看到,输入幅度为 -2+2mV 的方波信号时, 输出的波形比输入的波形略有向上的移动,其中,信道A为输入信道,信道 B为输出信道。总体来讲,信号通过高通滤波器后,可以认为没有产生失真。波形的幅度也没有发生较大的放大或减小,在误差范围内,本次设计可以认为信号通过该高通滤波器后,波形、幅度没有发生变化,符合

52、名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 24 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)设计要求。图 5.4 高通滤波电路输入输出波形仿真用波特仪对高通滤波器进行通频带仿真。如图5.5 所示,通过波特仪可以看到,当衰减为 -3dB 时,截止频率约为0.065592Hz,基本满足设计要求。图 5.5 高通滤波器频带仿真5.3.3 带阻滤波器调试与分析在对带阻滤波电路进行仿真时,可以将方波作为输入信号,设置其幅度为-2 +2mV ,设定频率

53、为 10Hz ,利用示波器观察输入输出波形。如图5.6 所示。可以看到,名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 25 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)输入幅度为 -2+2mV的方波信号时, 输出的波形在方波的上下出现略微的浮动,波动很小,其中,信道A为输入信道,信道 B为输出信道。总体来讲,信号通过带阻滤波器后,可以认为没有产生失真。波形的幅度也没有发生较大的放大或减小,在误差范围内,可以认为信号通过该带阻滤波器后,波形、幅度

54、没有发生变化, 符合设计要求。图 5.6 带阻滤波电路输入输出波形仿真可以用波特仪对带阻滤波器进行通频带仿真。如图5.7 和图 5.8 所示,通过波特仪可以看到,当衰减为 -3dB 时,截止频率分别为46Hz和 54Hz ,通过计算,可以得出带阻宽8BWHz。图 5.7 带阻滤波电路阻带截止频率名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 26 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)图 5.8 带阻滤波电路阻带截止频率从图 5.9 中,可

55、以看出,当频率为50 Hz左右时,电路衰减值最大,衰减深度约为 19.18dB, 基本符合设计要求。图 5.9 衰减深度仿真至此,通过分析,可以认为设计的带阻滤波电路符合设计要求。54 主放大电路调试与分析在对主放大电路进行仿真时,可以将方波作为输入信号,设置其幅度为-16+16mV ,设定频率为 10Hz,利用示波器观察输入输出波形。 如图 5.10 所示。可以看到,输入幅度为 -16+16mV 的方波信号时,输出的波形为 -2+2V的方波信号,通过计算,可以得到:4=12532mVAV(5.2 )可见主放大电路的设计与设计要求相符。前置放大电路实现了将信号放大8 倍,主放大电路实现了将信号

56、放大125 倍,一共将信号放大了1000倍。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 27 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)图 5.10 主放大电路输入输出波形55 同相加法器电路调试与分析在对同相加法器电路进行仿真时,可以将方波作为输入信号,在信号发生器中设置其幅度为 -2.5 +2.5V, 设定频率为 10Hz, 利用示波器观察输入输出波形。 如图 5.11所示。可以看到,信道A为输入信道,当输入幅度为-2.5 +2.5V

57、的方波信号时,输出通道 B 输出的波形为0+5V的方波信号。可见,同相加法器的设计基本符合设计要求。图 5.11 同相加法器电路输入输出波形名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 28 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)56 显示电路调试与分析结合软件,可以在PROTEUS软件中仿真,得到心电信号的波形。由于条件有限,在本次设计中,并没有采集真正的心电信号,可以采用PROTEUS 软件中的信号源作为输入端,让信号源分别输出正弦波

58、、方波、三角波和锯齿波,通过ADC0808 的采集经过单片机处理和分析最后显示在液晶屏上。图5.12 为在仿真时显示的正弦信号。通过键盘电路,在仿真中可以看到信号的幅度和周期都可以相应的增加和减少。按下X轴减少键后,显示的波形如图5.13 所示,说明键盘电路的X 轴控制是成功的,再按下 X轴增加键,可以恢复到图 5.12 所示波形。按下 Y轴减少键,显示的波形如图 5.14所示,再按下 Y轴增加键,也可以恢复到图5.12 所示波形,说明键盘电路的Y 轴控制也是成功可行的。通过选择信号发生器上输出的波形,可以输出如图5.15 所示的三角波,如图 5.16 所示的锯齿波和如图5.17 所示的方波。

59、至此有理由认为,如果输入的是心电信号, 也可以再液晶屏上显示出来。 可见,本次设计的显示电路是可行的,软硬件的调试都可以通过,可以认为满足设计要求。图 5.12 显示正弦信号名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 29 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)图 5.13 按下 X轴减少后的正弦信号图 5.14 按下 Y轴减少后的正弦信号名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - -

60、- - - - 名师精心整理 - - - - - - - 第 30 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)图 5.15 显示三角波信号图 5.16 显示锯齿波信号名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 31 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)图 5.17 显示方波信号名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精

61、心整理 - - - - - - - 第 32 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)结论本次毕业设计的题目是基于单片机的心电监测系统设计。在本次毕业设计中,通过查阅资料和设计仿真完成的主要工作有以下几点。首先,通过查阅文献资料,了解了当前心电图仪的发展情况,以及心电图仪的发展方向和目前遇到的困难。再次,学习研究了心电图从信号采集到信号调理最终通过单片机控制显示在液晶上这一系列过程,并设计了心电图采集、放大、滤波和显示这些过程对应的电路图。最后,通过仿真软件,理论上验证了设计的心电图仪可以监测心电信号。本次毕业设计是简易的心电图的测量,心电图仪还有很大

62、的发展空间,心电图仪不是仅局限于信号的采集和显示,随着通信技术和集成电路的发展,心电图仪还将向着小型化,低成本,便携带和移动通信方向发展。相信在不久的将来,心电监测将会更好的服务于人们的生活,心血管疾病会得到更加有效的预防和治疗。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 33 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)致谢名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师

63、精心整理 - - - - - - - 第 34 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)参 考 文 献1 温全具有 USB接口的便携式心电监护仪的设计D 安徽:合肥工业大学, 20092 王林泓动态心电信号分析系统的研究D 重庆:重庆大学, 20023 周润景,徐宏伟,丁莉单片机电路设计、分析与设计M 北京:机械工业出版社, 20104 宋健便携式心电图仪存储与控制模块设计与实现D 北京:北京交通大学,20085 兰吉昌 . 单片机 C51完全学习手册 M. 北京:化学工业出版社, 20096 张宏瑞单片机原理及应用M 北京:清华大学出版社,20087

64、 龙脉工作室单片机C语言入门 M 北京:人们邮电出版社,20088 邹逢兴集成模拟电子技术M 北京:电子工业出版社,20059 许缪,王淑英,等电器控制与 PLC控制技术 M. 北京:机械工业出版社, 200510 韩广兴电子元器件与实用电路基础M 北京:电子工业出版社,200511 王振红,张常年综合电子设计与实践M. 北京:清华大学出版社, 200512 赵家贵电子电路设计 M 北京:中国计量出版社,200513 臧春华电子线路设计与应用M 北京:高等教育出版社,200414 Lance Hammond,Basem ANayfeh A single chip MultiprocessorJ

65、IEEE Computer Society Press Los Alamitos, 1999, 30(9):8810015 赵新民 . 智能仪器设计基础 M. 哈尔滨:哈尔滨工业大学出版社,1999. 16 蒋辉平,周国雄 . 基于 Proteus 的单片机系统设计与仿真实例M 北京:机械工业出版社, 2009. 17 石长华 . 51 系列单片机项目实践 M. 北京:机械工业出版社,201018 彭为,黄科单片机典型系统设计实例精讲M 北京:电子工业出版社, 200619 黄宛临床心电图学 M 北京:人民卫生出版社,199820 周玉珍简明心电图手册M 北京:人民军医出版社,200221 王

66、铁流,吴丹丹,李成基于C8051F320 USB 接口的数据采集存储电路 J 电子产品世界, 2006,16(12) :10110322 林家瑞生物医学数字信号处理M 武汉:华中科技大学出版社,2001名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 35 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)附录:系统总电路图图1 Multisim 仿真总电路图图2 Protues 仿真总电路图名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 36 页,共 37 页 - - - - - - - - - 贺州学院本科毕业论文(设计)名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 37 页,共 37 页 - - - - - - - - -

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号