电梯控制器设计任务书

上传人:hs****ma 文档编号:564977295 上传时间:2023-01-04 格式:DOCX 页数:30 大小:391.82KB
返回 下载 相关 举报
电梯控制器设计任务书_第1页
第1页 / 共30页
电梯控制器设计任务书_第2页
第2页 / 共30页
电梯控制器设计任务书_第3页
第3页 / 共30页
电梯控制器设计任务书_第4页
第4页 / 共30页
电梯控制器设计任务书_第5页
第5页 / 共30页
点击查看更多>>
资源描述

《电梯控制器设计任务书》由会员分享,可在线阅读,更多相关《电梯控制器设计任务书(30页珍藏版)》请在金锄头文库上搜索。

1、课程设计(论文)任务书信息工程学院学 院 通信丁程专 业2009J班一、课程设计(论文)题目 电梯控制器设计二、课程设计(论文)工作自2012年1月丄日起至2012年丄月_匸日止。三、课程设计(论文)地点:华东交通大学4-410,图书馆四、课程设计(论文)内容要求:1. 本课程设计的目的(1) 掌握EDA技术及CPLD/FPGA的开发流程;(2) 掌握自顶向下的设计思想;(3) 掌握电梯的工作原理;(4) 掌握系统设计的分析方法;(5) 提高学生的科技论文写作能力。2. 课程设计的任务及要求1) 基本要求:(1) 设计一个三戻楼房自动电梯控制器,用八个LED显示电梯行进过程:(2) 并有数码管

2、显示电梯当前所在楼层位置;(3) 每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮;(4) 能把设计文件进行仿真并下载到实验箱实现功能验证。2) 创新要求:在基本要求达到后,可进行创新设计,如增加到多层(四层以上)。3) 课程设计论文编写要求(1) 要按照书稿的规格打印誊写论文(2) 论文包括目录、绪论、正文、小结、参考文献、谢辞、附录等(3) 论文装订按学校的统一要求完成4) 答辩与评分标准:(1) 完成系统分析:20分;(2) 完成设计过程:20分;(3) 完成仿真:10分;(4) 完成下载:10分(5) 回答问题:10分。5) 参考文献:(1) 潘松,黄继业编著EDA技

3、术实用教程,2005,科学出版社(2) 徐志军,徐光辉编著. CPLD/FPGA的开发与应用,电子工业出版社,2001.1(3) http:/ 备。中国是全球最大的电梯市场,也具有最强的电梯生产能力,但由于缺乏自主 知识产权和核心技术,自主品牌占市场的份额很少。随着社会需求的变化,电梯 朝着节能、环保及智能化方向发展。EDA技术打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设 计效率与产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。 VHDL主要用于描述数字系统的接口,结构和功能,它的语法简单易懂,移植性 好。本设计采用VHDL,源程序经Alt era公司的MAX+plu

4、s II软件仿真。运用有 限状态机的设计方法,设计了两个进程相互配合,状态机进程作为主要进程,信 号灯控制进程作为辅助进程。【关键词】电梯控制器VHDL状态机EDAAbstractElevator has become an indispensable device as a vertical transport in high-rise buildings and public places. China is the worlds largest elevator market, and also has the highest lift capacity. But the lack of

5、 independent intellectual property rights and core technologies, the market share of own brands account for very little. With the changing of the communitys needs, elevator develop towards energy saving, environmental protection and intelligent.EDA breaks the barriers between hardware and software.

6、Not only the computer software technology and hardware but also the design efficiency and product performance are combined. EDA represents the electronic design technology and application technologys development. VHDL digital system is mainly used to describe the interface, structure and function. I

7、ts syntax is easy and transplantable.This design which uses VHDL simulated by A1teras MAX + plus II software. I use a method named finite state machine which two processes complement each other. The state machine process act as the main process, and the signal control process act as an assistant.目录摘

8、要3Abstract4目录5第1章绪论6第2章三层电梯控制器系统的初步设计72.1具体功能72.2设计思路7第3章三层电梯控制器的综合设计83.1具体分析判断83.1.1电梯运行规则83.1.2程序流程分析103.2程序端口和寄存器说明113.3各模块设计说明113.4具体语句设计说明133.5设计的扩展性14第4章 三层电梯控制器仿真与调试154.1程序调试154.2程序波形仿真164.2.1波形输入建立164.2.2电梯运行情况仿真174.2.3电梯功能仿真184.3硬件测试194.3.1引脚锁定194.3.2硬件下载20小结21参考文献22谢辞23附 录(程序代码)24第1章绪论近年来,

9、随着我国房地产业的持续高速发展,高层建筑越来越多。因此,一 种能使人们快速、便捷地到达目的楼层的电梯便应运而生了。人们对电梯安全性、 高效性、舒适性的不断追求也推动了电梯技术的进步。为了实现电梯的智能化, 可以采用许多方法。它的智能化控制可以有以下几种形式:1.PLC控制;2单板 机控制;3单片机控制;4单微机控制;5多微机控制;6人工智能控制。随着EDA技术的快速发展,电子设计自动化(EDA)逐渐成为重要的设计手 段,已经广泛应用于模拟与数字电路系统等许多领域。它是一种实现电子系统或 电子产品自动化设计的技术,与电子技术、微电子技术的发展密切相关,并吸收 了计算机科学领域的大多数最新研究成果

10、,以高性能的计算机作为工作平台,促 进了工程发展。传统单片机设计的电梯控制外围电路复杂,性能不稳定,而采用 EDA设计,却拥有电子系统小型化、低功耗、高可靠性、开发过程投资小、周期 短等优点,而且还可以通过软件编程对硬件结构和工作方式进行重构,使得硬件 设计如软件设计那般方便快捷。本文采用VHDL语言来设计实现三层电梯控制器,代码具有良好的可读性和 以理解性,源程序使用Altera公司的MAX+plusII软件仿真,本课题的设计具有 一定的扩展性,而且可以作为更多层电梯控制器实现的基础。第2章三层电梯控制器系统的初步设计2.1具体功能课设要求设计一个三层楼房自动电梯控制器,这里可将其扩展至更多

11、层电梯 控制器。具体要实现的功能如下:(1) 每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请 求开关;(2) 设有电梯入口处位置指示装置(数码管显示楼层位置)及电梯运行模式 (上升或下降)指示装置;(3) 电梯每秒升(降)一层楼,当电梯到达选择的楼层时,电梯自动开门; 电梯的门操作具有关门延时设置,当按下梯内关门按钮时立刻响应关门 操作,否则延时固定时间(4秒)后响应关门操作;(5) 电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开 门4秒后,电梯门关闭(开门指示灯灭),电梯继续行进,直至执行完最后一个请 求信号后停留在当前层;(6) 能记忆电梯内外所有请求,并按

12、照电梯运行规则按顺序响应,每个请求 信号保留至执行后消除;(7) 电梯运行规则一当电梯处于上升模式时,只响应比电梯所在位置高的上 楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有 下楼请求,则直接升到有下楼请求的最高层,然后进入下降模式。当电梯处于下 降模式时则与上升模式相反;(8) 电梯初始状态为一层开门状态。2.2设计思路本次设计的电梯控制器采用状态机来实现,思路比较较清晰。可以将电梯的 各个状态都看成一个独立的状态,如开门状态、关门状态、电梯在哪一层。根据 电梯的实际工作情况,可以把状态机设置七个工作状态,分别是“电梯停留在第 一层”、“电梯停留在第二层”、“电梯停

13、留在第三层”、“开门”、“关门或快速关门”、 “运行过程计数并判断下一层” “判断各层是否有请求”各个状态之间的转换 条件可由上面的设计要求所决定。电梯每到一层,数码管显示该楼层号,电梯从 低层到高层时,输出上行状态,反之,输出下行状态,但在到达一层或三层时, 上行下行状态都清零。第3章三层电梯控制器的综合设计3.1具体分析判断3.1.1电梯运行规则1. 请求信号分析电梯的请求信号可分为梯内请求和梯外请求,如果从这个角度就很难去进行 对电梯运行可能情况的分析,因为电梯的运行是根据梯外和梯内的请求信号、行 程信号进行控制,而梯外和梯内的请求是随机且不能以有限的规则去对其进行说 明的。因此,很难对

14、电梯的运行情况作出一个统一的分析。出于这方面的考虑, 本设计把电梯的请求信号划分为上升请求和下降请求。电梯接收到请求信号后, 响应并判断是上升请求信号就是下降请求信号,然后电梯向下或向上运行。具体 来说,就是当电梯所在楼层低于发出梯外请求的楼层或者低于梯内请求所要到达 的目的楼层时,电梯必须在下一操作中作出上升运行。这时的请求信号就是上升 请求信号。反之,则是下降请求信号。如电梯在二层并上一次电梯运行是向上或 是向下,接收到的是上升请求还是下降请求。2. 电梯处于各楼层时的运行情况1)处于一层时,不管是梯内梯外,电梯都只能接收上升的请求信号。此后, 电梯就进入上升状态,作上升运行。如果电梯没有接收到请求信号,则电梯在一 层待机,其分析图如图3.1所示。图3.1电梯处于一层时的运行情况分析2)处于二层时,电梯则可能出现以下三种情况:电梯没有接收到梯内梯 外的任何

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号