DSP Builder的功能和流程

上传人:m**** 文档编号:564841235 上传时间:2024-02-13 格式:DOCX 页数:2 大小:53.02KB
返回 下载 相关 举报
DSP Builder的功能和流程_第1页
第1页 / 共2页
DSP Builder的功能和流程_第2页
第2页 / 共2页
亲,该文档总共2页,全部预览完了,如果喜欢就下载吧!
资源描述

《DSP Builder的功能和流程》由会员分享,可在线阅读,更多相关《DSP Builder的功能和流程(2页珍藏版)》请在金锄头文库上搜索。

1、电子 131 XXX XXXXXX1、说明DSP Builder的主要功能DSP Builder是Alt era公司提供的一种DSP系统设计工具,是Matl ab/Simulink设计 工具和Quartusll设计工具之间的一个桥梁,把Matlab/Simulink中的DSP系统设计转化 为HDL文件,在Quar tusll工具中实现到具体的器件中。产生于Matl abDSP BuilderQuartus II流程的DSP模块或其他功能模块可以成为单片FPGA电路系统中的一个 组成部分,担任某个局部电路的功能;通过MatlabDSP Builder,可以直接为Nios II嵌 入式处理器设计各

2、类加速器,成为Nios II系统的一个接口设备,与整个片内嵌入式系统融 为一体。DSP Builder是一个系统级(或算法级)设计工具,它架构在多个软件工具之上,并 把系统级和RTL级两个设计领域的设计工具连接起来,最大程度地发挥了两种工具的优势。2、说明DSP Builder的设计流程第一步是在Matlab的Simulink环境中建立一个mdl模型文件,调用Alt era DSP Builder 和其它Simulink库中的图形模块(Block),构成设计框图(或称Simulink设计模型)。第二步是利用Simulink强大的图形化仿真、分析功能,分析此设计模型的正确性,完 成模型仿真。在这

3、两步中,与一般的Matlab Simulink建模过程几乎没有什么区别,所不同 的是设计模型库采用Alt era DSP Builder的Simulink库。第三步是DSP Builder设计实现的关键一步,通过SignalCompiler把Simulink的模型 文件(后缀为.mdl)转化成通用的硬件描述语言VHDL文件(后缀为.vhd)。由于EDA工具软件 (诸如Quar tus II、ModelSim)不能直接处理Mat lab的.mdl文件,这就需要一个转换过程。 转换获得的HDL文件是基于RTL级(寄存器传输级)的VHDL描述。再接下来的几个步骤是对以上设计产生的VHDL的RTL代码

4、和仿真文件进行综合、编译适配 以及仿真。为了针对不同用户的设计目的和设计要求,DSP Builder提供了两种不同的设计 流程,主要可以分为自动流程和手动流程。如果采用DSP Builder的自动流程,几乎可以忽略硬件的具体实现过程,DSP Builder 自动调用Quar tusll等EDA设计软件,完成综合(Syn thesis)、网表(ATOM Ne tlis t)生成和 Quartus II适配,甚至在Matlab中完成FPGA的配置下载过程。如果希望使用其它第三方的VHDL综合器和仿真器(除Synplify、LeonardoSpectrum和 Quartus II综合器及ModelS

5、im外),或是希望完成特定的适配设置,如逻辑锁定、时序驱 动编译、ESB特定功能应用等,可以选用手动流程设计。在手动流程中,可以灵活地指定综合、适配条件。不过,需要手动地调用VHDL综合器进行 综合,调用Quartus II进行适配,调用ModelSim或者Quartus II进行仿真,最后用 Quartus II产生相应的编程文件用于FPGA的配置。3、论述 Matlab、Simulink DSP Builder Modelsim、Quartus II几种工具之 间的关系MATLAB1 是美国MathWorks公司出品的商业数学软件,用于算法开发、数据可视化、 数据分析以及数值计算的高级技术

6、计算语言和交互式环境,主要包括MATLAB和Simulink 两大部分。Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的 集成环境。Alt era可编程逻辑器件(PLD)中的DSP系统设计需要高级算法和HDL开发工具。Alt era DSP Builder将The MathWorks MATLAB和Simulink系统级设计工具的算法开发、仿真和验 证功能与VHDL综合、仿真和Alt era开发工具整合在一起,实现了这些工具的集成。Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境, 是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、 Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护 IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC 设计的首选仿真软件。Quartus II通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各 种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软 件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号