直流电机课程设计

上传人:夏** 文档编号:564822103 上传时间:2023-12-29 格式:DOCX 页数:19 大小:324.93KB
返回 下载 相关 举报
直流电机课程设计_第1页
第1页 / 共19页
直流电机课程设计_第2页
第2页 / 共19页
直流电机课程设计_第3页
第3页 / 共19页
直流电机课程设计_第4页
第4页 / 共19页
直流电机课程设计_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《直流电机课程设计》由会员分享,可在线阅读,更多相关《直流电机课程设计(19页珍藏版)》请在金锄头文库上搜索。

1、河南科技大学课程设计说明书课程名称现代电子系统课程设计题目直流电机控制设计学院电信学院班级电信科071学生姓名杨阳指导教师齐晶晶日 期 2010年1月9日摘要随着科学技术日益发展,特别是EDA技术的发展,直流电机的应用也 越来越来广泛。直流电机有许多有点,如速度容易控制,精度高,效率高等 能在交款的范围内调速,因而在许多工业领域中应用广泛。然而,在许多场 合直流电机的实现并不是那么容易,特别是在控制要求精度较高时候,由于 PWM数据宽度小,占用内存较多,因此片外数字PWM电路成为了人们的理 想选择。在本文中,我们采用FPGA作为直流电机的控制期间,负责信号处理,速 度快、可靠性高。主要模块如下

2、:1、PWM模块:该模块主要用来驱动,方案论证及具体功能及其实现将在 各模块阐述。2、速度控制模块:该模块主要用来调节直流电机的转速,方案论证及具体 功能及其实现将在各模块阐述。3、方向控制模块:该模块主要用来控制直流电机的正传及反转,方案论证 及具体功能及其实现将在各模块阐述。4、去抖动模块:该模块主要用来消除直流电机的抖动,能够便于观察,方 案论证及具体功能及其实现将在各模块阐述。5、显示模块:该模块主要用来显示直流电机的转速及档位,方案论证及具 体功能及其实现将在各模块阐述。关键词:EDA,PWM,FPGA,速度,方向,去抖动,显示,模块目录一. 任务解析3二. 系统方案论证32.1 总

3、体方案与比较论证2.2 系统原理与结构2.3 主要芯片选型三. PWM模块63.1 设计方案论证3.1 方案的选择3.1 方案的实现四. 速度控制模块设计.84.1 方案的论证4.2 方案的实现五. 正反转模块设计84.1 方案的论证4.2 方案的实现 六显示模块94.1 方案的论证4.2 方案的实现七总结.107.1 总体仿真7.2 性能测试7.3 误差及分析7.4 改善方法7.5 心得体会八参考文献12九附录.13一任务解析利用 PWM 控制技术实现直流电机的速度控制。(1)基本要求:a. 速度调节:4档,数字显示其档位。b. 能控制电机的旋转方向。c. 通过红外光电电路测得电机的转速,设

4、计频率计用4位10进制显示 电机的转速。(2)发挥部分a. 设计“去抖动”电路,实现直流电机转速的精确测量。b. 修改设计,实现直流电机的闭环控制,旋转速度可设置。从上面的要求,我们大概可以看出要设计几个模块以及其大概功能。例如, 基本部分中,在PWM模块,锯齿波发生器,10进制都是必须的;关于速度 调节,在这个模块中,很明显是通过调节占空比来调节转速,因此比较器是 必不可少的;而在方向控制时,双二选一选择器能够实现正反转;在显示模 块中,由于要用到频率计,因而分频记的设计也应该有。二.系统方案论证2.1 总体方案与比较论证方案一:使用单片机设计控制的直流电机通过单片机,我们完全能够实现驱动模

5、块,转速模块显示模块等需要的 模块。优点:硬件电路简单,所用器件少,且实现各种模块及其功能相对容易, 而且调控起来也比较方便。缺点:精度不易满足,产生调控范围小,难以产生较高转速。方案二:基于 FPGA 控制设计的直流电机通过用 VHDL 语言编写各个模块,再加以整合,从而实现整体功能。采用此方案,所形成的电机功能稳定性强,精度高,可控范围较大,能 形成最大速度较大,更能满足设计任务。比较以上两种方案的优缺点,方案二简洁、灵活、可扩展性好,控制性能、最大值均比方案一强,能完全达到设计要求,故采用第二种方案。2.2 系统原理与结构直流电机由上图我们可以看出系统工作原理: 设定值计数器设置PWM信

6、号的占空比.当U /D = 1,输入CL K2,使设定值 计数器的输出值增加,PWM占空比增加,电机转速加快.当U/D = 0,输入 CL K2, 使设定值计数器的输出值减小, PWM 占空比减小, 电机转速变慢。 在CL K0的作用下,锯齿波计数器输出周期性线性增加的锯齿波。当计数值 小于设定值时, 数字比较器输出低电平; 当计数值大于设定值时 , 数字比较 器输出高电平, 由此产生周期性的 PWM 波形。 旋转方向控制电路控制直流 电动机转向及启动停止, 该电路由两个2 选1 选择器组成, Z/F 键控制选择 PWM 波形从正端 Z 进入 H 桥, 还是从负端 F 进入 H 桥, 以控制电

7、机的转 动方向。START键通过“与”门控制PWM的输出,实现对电机的工作停止 控制.。H桥电路由大功率晶体管组成,PWM波形通过方向控制送到H桥, 经功率放大以后驱动电机转动。直流电机控制原理框图:参考频率2.3 主要芯片选型STC89C52 单片机:资源丰富,有8K字节可重擦写Flash闪速存储器,256x8字节内部RAM,32个可编程I/O 口线,3个16位定时/计数器,8个中断源,可编程串行UART通道低功耗空闲和掉电模式 ;性能优越, 1000 次擦写周期,全静态操作:0Hz24MHz。DAC0800: 不需要任何驱动,连好电路即可使用,使用方便,转换频率可达到 1MHz。LM084

8、:工作电压范围大,输出电流大,运行速度达到16V/us,相对LM324性能更优 越。TDA2030A:体积小巧,输出功率大,静态电流小(50mA以下),动态电流大(能承受3.5A 的电流),负载能力强。三.PWM模块设计3.1 设计方案论证 该模块主要包括一个计数器和一个锯齿波发生器,因此可以采取多种方 案来实现。采用单片机实现一个十进制计数器和锯齿波发生器。我们知道,单片机 AT89C51 内部具有 2 个 16 位定时计数器,定时计数器的工作可以由编程 来实现定时、计数和产生计数溢出时中断要求的功能。在定时器工作方式下, 在被测时间间隔内,每来一个机器周期,计数器自动加1 (使用12 MH

9、z时钟 时,每1“s加1),这样以机器周期为基准可以用来测量时间间隔。在计数 器工作方式下,加至外部引脚的待测信号发生从1到0的跳变时计数器加1。 因此,可实现计数功能。我们以前也做过实验,用单片机来产生各种波形,这里就不在赘述单片机 产生锯齿波。采用FPGA实现计数功能和锯齿波。这样可以节省资源,而且精度高。3.2 方案的选择最终,我们选择了方案二。理由如下:由于FPGA本身是将大量的逻辑功能继承与一个器件内,集成度要远 远高于单片机,能够利用的资源也相对充裕,因此可以较好地完成要 求。 由于对于 FPGA 的设计,可以直接采用 VHDL 硬件语言直接进行的,在 不同的场合,我们只要对语言进

10、行适当的改动,就可实现具体情况具 体应用。因此,利用 FPGA 来进行开放,其可移植性更强。利用 FPGA 和单片机协调来进行开发,只要利用较少的器件就可以实 现系统的功能。3.3 方案的实现:CNT5 clockup coun terq3.Oin st1DECDCLKDSPY1.OD3.0inst2CNT5是一个5位二进制 计数器,作为脉冲宽度计数器;DECD是一个转速 控制模块,脉冲宽度计数器在CLK5的激励下输出是从0开始的逐渐增大的 锯齿波。CNT5 的仿真如下:四. 速度控制模块设计4.1 方案论证该模块主要为一个数字比较器。 刚才在三模块中,我们应经知道了有两路 输出,他们将同时加

11、载上面的数字 比较器上,当脉宽计数器输出值小于DECD的规定值时,比较器输出低电平; 当脉宽计数器输出值大于 DECD 的规定值时,比较器输出低电平。改变 DECD 的输出值就等于改变 PWM 的输出信号的占空比,也等于改变了周期, 这样就实现了速度调控4.2 方案实现仿真结果如下10.0 ILS20.0 ns30.0 ns40.0 ns: 15 X 4 J; 14 J:; 2X 11 X 3:(15 J:; 5X 13 i 1* 91 X 0X 14 X 61 I I I I I I I I I I I I I I I LI1ICMP3unsigned comparedataa3.0bdat

12、ab3.0 aginst五. 正反转模块设计5.1 方案论证该模块其实就是一个双二选一,控制正反转。如下图,当输入为1时,两个MUX21都选a,但下面那个MUX21的a却是接地的, 因此只有上面的 MUX21 输出,此时为正转;而转速由上面的比较器输出信号 控制。当输入为0时,两个MUX21,都选b,但上面那个b缺失却是接地的,因 此只有下面的 MUX21 输出,此时为反转;而转速由上面的比较器输出信号控 制。5.2 方案实现六. 显示模块设计6.1 方案论证 显示模块由一个分频计,一个频率计构成用分频计将4hz的输入信号分成1HZ,而频率计的另一个输入端是去抖电路的输出,可以通过数码管显示转

13、速,通过示波器来检验转速另外,该转速时通过红外光电路测得。红外光电路这里就不在赘述6.2 方案实现fre cnt10clk_1hz d_out03.0freq d_out13.0OUTPUT、d_out03.0OUTPUT d_out130in st6仿真如下:七. 总结7.1 总体仿真 将以上介绍的几个模块进行整合,编写顶层文件,然后进行总体编译仿真仿真结果如下图:7.2 性能测试速度测试:最高速度82转/s,最低速度20转每秒。 调速测试:将速度分为 4当0,1,2,3,呈递增趋势。正反转测试:当按下按钮时,显示 1,即正转;再按一下则反转。7.3误差及其分析误差:数码管上显示82转/s,

14、而示波器上却显示90转/s分析:可能是由于箱子摩擦力较大,红外线测出的转速与信号产生的转速不 同误差:调速时,1档和2档间的速度变化不是很明显。 分析:可能是硬件问题,也可能是编程实现时出了差错。7.4 改善方法 换其他的实验箱发现效果有明显改善,最大速度明显提高。修改速度控制 模块部分程序,结果在换挡时,转速变化较原来明显。7.5 心得体会经过将近2 周的电子系统课程设计,我收获了很多很多,不仅是知识层面 而且还有精神层面。在一开始听说要进行课程设计,我心里有点浮躁,毕竟离考研没多少天了。 于是和寝室室友讨论选择哪个题目比较简单,最后选择了直流电机。从查资 料到进实验室这几天里,心里一直在矛盾,最终下决心要吧课程设计做好。 进实验室后才发现,现实是残酷的,面对一次又一次的失败,备受打击。于 是我向其他同学请教,一步步改进,终于把基本功能实现了。在这个过程中, 收益匪浅。首先,我的个方面的技能得到了大幅度提高,如 VH

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号